FPGA基本知识介绍

出处:电子发烧友网 发布于:2018-04-21 14:34:50

  首先看数字电路,了解什么是与或非,各种触发器,各种逻辑门,注意!了解即可,但是是怎么工作的一定要透彻透彻透彻透彻!(FPGA用的是HDL也就是硬件描述语言,程序是用来描述你的逻辑门的,数电是根基!)书的话我看的是电子技术基础(数字部分)康华光的 这本书还附带verilog语言的入门哦!

  再看FPGA设计与应用类的书,详细了解FPGA这个器件,它为什么而生,为什么流行,特点,缺点。这个要是有英文功底,直接奔看英文。像ALTERA,XILINX,这两家的网站都是巨NB的。没功底,看 FPGA设计与应用 西安电子科技大学出版社的 讲的很细。可以当手册来查,这么久了还一直在我抽屉里没丢呢。

  再次看VHDL,或verilog语言的书。

  这里有分歧,前者语法严格,适合做大系统,入门困难,但学会了对硬件的结构会有更深的理解。

  后者根据C语言改编,如果你有C语言基础,学这个容易上手,缺点是系统级别描述不行,沿袭了C语言的灵活,也带来了语法上的不严格,但是其作用面广,新手推荐,底层描述做的很好。

  这里我常用的VHDL,只能推荐VHDL的 硬件描述语言VHDL教程 西安交通大学出版社 姜雪松

  如果学verilog,先看看C语言的书,基础要打牢,不然代码上了规模,你就悲剧了。回头看很吃力!

  再看HDL设计软件的使用书 我用的是QUARTUS II软件,貌似的就是款软件了,这样的书,当做一个手册就行了,主要是介绍如何使用软件,告诉你怎么建工程,怎么输入程序,画原理图,编译纠错,时序仿真,都在这里了,软件用的好,比用的差的相隔很大哦!不会的时候狂翻书。

  这里就随便买本什么书就OK了,上网搜FPGA系统设计与实例,大把的。

  如果你想速成,那就上网看视频吧,这样主要是面对应用的,一个小时内让你的板子运行起来。早期起来的快,活学活用,就是后期没有系统理论支持,会有些吃力,特别是大项目那完全是个悲剧。国内做的可以的,我知道的就是周立功了,艾米电子也可以吧。这两家都有学习板,不过后者的教程抄袭的前者的。前者功底深厚些,资金不紧张就买前者吧。速成的话,数电书一定一定必备,边看边学比较好。其余的书可以适量买点。

  这么多,其实只要有兴趣,慢慢学,入门也不难,板子就去网购吧。

  我们先谈一下FPGA基本知识:

  FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

  1、硬件设计基本原则

  (1)速度与面积平衡和互换原则:一个设计如果时序余量较大,所能跑的频率远高于设计要求,能可以通过模块复用来减少整个设计消耗的芯片面积,这就是用速度优势换面积的节约;反之,如果一个设计的时序要求很高,普通方法达不到设计频率,那么可以通过数据流串并转换,并行复制多个操作模块,对整个设计采用“乒乓操作”和“串并转换”的思想进行处理,在芯片输出模块处再对数据进行“并串转换”。从而实现了用面积复制换取速度的提高。

  (2)硬件原则:理解HDL本质

  (3)系统原则:整体把握

  (4)同步设计原则:设计时序稳定的基本原则

  2、Verilog作为一种HDL语言,对系统行为的建模方式是分层次的。比较重要的层次有系统级、算法级、寄存器传输级、逻辑级、门级、电路开关级。

  3、实际工作中,除了描述仿真测试激励时使用for循环语句外,极少在RTL级编码中使用for循环,这是因为for循环会被综合器展开为所有变量情况的执行语句,每个变量独立占用寄存器资源,不能有效的复用硬件逻辑资源,造成巨大的浪费。一般常用case语句代替。

  4、if…else…和case在嵌套描述时是有很大区别的,if…else…是有优先级的,一般来说,个if的优先级,一个else的优先级。而case语句是平行语句,它是没有优先级的,而建立优先级结构需要耗费大量的逻辑资源,所以能用case的地方就不要用if…else…语句。

  补充:1.也可以用if…; if…; if…;描述不带优先级的“平行”语句。

  5、FPGA一般触发器资源比较丰富,而CPLD组合逻辑资源更丰富。

  6、FPGA和CPLD的组成:

  FPGA基本有可编程I/O单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等6部分组成。

  CPLD的结构相对比较简单,主要由可编程I/O单元、基本逻辑单元、布线池和其他辅助功能模块组成。

  7、Block RAM:

  3种块RAM结构,M512 RAM(512bit)、M4K RAM(4Kbit)、M-RAM(64Kbit)。

  M512 RAM:适合做一些小的Buffer、FIFO、DPRAM、SPRAM、ROM等;

  M4K RAM:适用于一般的需求

  M-RAM: 适合做大块数据的缓冲区。

  Xlinx 和 LatTIce FPGA的LUT可以灵活配置成小的RAM、ROM、FIFO等存储结构,这种技术被称为分布式RAM。

  补充:但是在一般的设计中,不提倡用FPGA/CPLD的片内资源配置成大量的存储器,这是处于成本的考虑。所以尽量采用外接存储器。

  8、善用芯片内部的PLL或DLL资源完成时钟的分频、倍频率、移相等操作,不仅简化了设计,并且能有效地提高系统的和工作稳定性。

  9、异步电路和同步时序电路的区别

  异步电路:

  电路逻辑有用组合电路实现;

  异步时序电路的缺点是容易产生毛刺;

  不利于器件移植;

  不利于静态时序分析(STA)、验证设计时序性能。

  同步时序电路:

  电路逻辑是用各种触发器实现;

  电路主要信号、输出信号等都是在某个时钟沿驱动触发器产生的;

  同步时序电路可以很好的避免毛刺;

  利于器件移植;

  利于静态时序分析(STA)、验证设计时序性能。

  10、同步设计中,稳定可靠的数据采样必须遵从以下两个基本原则:

  (1)在有效时钟沿到达前,数据输入至少已经稳定了采样寄存器的Setup时间之久,这条原则简称满足Setup时间原则;

  (2)在有效时钟沿到达后,数据输入至少还将稳定保持采样寄存器的Hold时钟之久,这条原则简称满足Hold时间原则。

  11、同步时序设计注意事项:

  异步时钟域的数据转换。

  组合逻辑电路的设计方法。

  同步时序电路的时钟设计。

  同步时序电路的延迟。同步时序电路的延迟常用的设计方法是用分频或者倍频的时钟或者同步计数器完成所需的延迟,对比较大的和特殊定时要求的延时,一般用高速时钟产生一个计数器,根据计数产生延迟;对于比较小的延迟,可以用D触发器打一下,这样不仅可以使信号延时了一个时钟周期,而且完成了信号与时钟的初次同步。在输入信号采样和增加时序约束余量中使用。另外,还有用行为级方法描述延迟,如“#5 a《=4’0101;”这种常用于仿真测试激励,但是在电路综合时会被忽略,并不能起到延迟作用。

  Verilog 定义的reg型,不一定综合成寄存器。在Verilog代码中常用的两种数据类型是wire和reg型,一般来说,wire型指定的数据和网线通过组合逻辑实现,而reg型指定的数据不一定就是用寄存器实现。

  12、常用设计思想与技巧

  (1)乒乓操作;

  (2)串并转换;

  (3)流水线操作;

  (4)异步时钟域数据同步。是指如何在两个时钟不同步的数据域之间可靠地进行数据交换的问题。数据时钟域不同步主要有两种情况:

  两个域的时钟频率相同,但是相差不固定,或者相差固定但是不可测,简称为同频异相问题。

  两个时钟频率根本不同,简称异频问题。

  两种不推荐的异步时钟域操作方法:一种是通过增加Buffer或者其他门延时来调整采样;另一种是盲目使用时钟正负沿调整数据采样。

  13、模块划分基本原则:

  (1)对每个同步时序设计的子模块的输出使用寄存器(用寄存器分割同步时序模块原则)。

  (2)将相关逻辑和可以复用的逻辑划分在同一模块内(呼应系统原则)。

  (3)将不同优化目标的逻辑分开。

  (4)将送约束的逻辑归到同一模块。

  (5)将存储逻辑独立划分成模块。

  (6)合适的模块规模。

  (7)顶层模块不进行逻辑设计。

  14、组合逻辑的注意事项

  (1)避免组合逻辑反馈环路(容易毛刺、振荡、时序违规等)。

  解决:

  A、牢记任何反馈回路必须包含寄存器;

  B、检查综合、实现的warning信息,发现反馈回路(combinaTIonal loops)后进行相应修改。

  (2)替换延迟链。

  解决:用倍频、分频或者同步计数器完成。

  (3)替换异步脉冲产生单元(毛刺生成器)。

  解决:用同步时序设计脉冲电路。

  (4)慎用锁存器。

  解决:

  A、使用完备的if…else语句;

  B、检查设计中是否含有组合逻辑反馈环路;

  C、对每个输入条件,设计输出操作,对case语句设置default操作。特别是在状态机设计中,有一个default的状态转移,而且每个状态也有一个default的操作。

  D、如果使用case语句时,特别是在设计状态机时,尽量附加综合约束属性,综合为完全条件case语句。

  小技巧:仔细检查综合器的综合,目前大多数的综合器对所综合出的latch都会报“warning”,通过综合可以较为方便地找出无意中生成的latch。

  15、时钟设计的注意事项

  (1)同步时序电路推荐的时钟设计方法:

  时钟经全局时钟输入引脚输入,通过FPGA内部专用的PLL或DLL进行分频/倍频、移相等调整与运算,然后经FPGA内部全局时钟布线资源驱动到达芯片内所有寄存器和其他模块的时钟输入端。

  FPGA设计者的5项基本功:仿真、综合、时序分析、调试、验证。

  对于FPGA设计者来说,练好这5项基本功,与用好相应的EDA工具是同一过程,对应关系如下:

  1. 仿真:Modelsim, Quartus II(Simulator Tool)

  2. 综合:Quartus II (Compiler Tool, RTL Viewer, Technology Map Viewer, Chip Planner)

  3. 时序:Quartus II (TImeQuest TIming Analyzer, Technology Map Viewer, Chip Planner)

  4. 调试:Quartus II (SignalTap II Logic Analyzer, Virtual JTAG, Assignment Editor)

  5. 验证:Modelsim, Quartus II(Test Bench Template Writer)

  掌握HDL语言虽然不是FPGA设计的全部,但是HDL语言对FPGA设计的影响贯穿于整个FPGA设计流程中,与FPGA设计的5项基本功是相辅相成的。

  对于FPGA设计者来说,用好“HDL语言的可综合子集”可以完成FPGA设计50%的工作——设计编码。

  练好仿真、综合、时序分析这3项基本功,对于学习“HDL语言的可综合子集”有如下帮助:

  1. 通过仿真,可以观察HDL语言在FPGA中的逻辑行为。

  2. 通过综合,可以观察HDL语言在FPGA中的物理实现形式。

  3. 通过时序分析,可以分析HDL语言在FPGA中的物理实现特性。

  对于FPGA设计者来说,用好“HDL语言的验证子集”,可以完成FPGA设计另外50%的工作——调试验证。

  1. 搭建验证环境,通过仿真的手段可以检验FPGA设计的正确性。

  2. 全面的仿真验证可以减少FPGA硬件调试的工作量。

  3. 把硬件调试与仿真验证方法结合起来,用调试解决仿真未验证的问题,用仿真保证已经解决的问题不在调试中再现,可以建立一个回归验证流程,有助于FPGA设计项目的维护。

  FPGA设计者的这5项基本功不是孤立的,必须结合使用,才能完成一个完整的FPGA设计流程。反过来说,通过完成一个完整的设计流程,才能有效地练习这5项基本功。对这5项基本功有了初步认识,就可以逐个深入学习一些,然后把学到的知识再次用于完整的设计流程。如此反复,就可以逐步提高设计水平。采用这样的循序渐进、螺旋式上升的方法,只要通过培训入了门,就可以自学自练,自我提高。

  市面上出售的有关FPGA设计的书籍为了保证结构的完整性,对FPGA设计的每一个方面分开介绍,每一方面虽然深入,但是由于缺少其他相关方面的支持,读者很难付诸实践,只有通读完全书才能对FPGA设计获得一个整体的认识。这样的书籍,作为工程培训指导书不行,可以作为某一个方面进阶的参考书。

  对于新入职的员工来说,他们往往对FPGA的整体设计流程有了初步认识,5项基本功的某几个方面可能很扎实。但是由于某个或某几个方面能力的欠缺,限制了他们独自完成整个设计流程的能力。入职培训的目的就是帮助他们掌握整体设计流程,培养自我获取信息的能力,通过几个设计流程来回的训练,形成自我促进、自我发展的良性循环。在这一过程中,随着对工作涉及的知识的广度和深度的认识逐步清晰,新员工的自信心也会逐步增强,对个人的发展方向也会逐步明确,才能积极主动地参与到工程项目中来。

  总结几点:

  1)看代码,建模型

  只有在脑海中建立了一个个逻辑模型,理解FPGA内部逻辑结构实现的基础,才能明白为什么写Verilog和写C整体思路是不一样的,才能理解顺序执行语言和并行执行语言的设计方法上的差异。在看到一段简单程序的时候应该想到是什么样的功能电路。

  2)用数学思维来简化设计逻辑

  学习FPGA不仅逻辑思维很重要,好的数学思维也能让你的设计化繁为简,所以啊,那些看见高数就头疼的童鞋需要重视一下这门课哦。举个简单的例子,比如有两个32bit的数据X[31:0]与Y[31:0]相乘。当然,无论Altera还是Xilinx都有现成的乘法器IP核可以调用,这也是简单的方法,但是两个32bit的乘法器将耗费大量的资源。那么有没有节省资源,又不太复杂的方式来实现呢?我们可以稍做修改:

  将X[31:0]拆成两部分X1[15:0]和X2[15:0],令X1[15:0]=X[31:16],X2[15:0]=X[15:0],则X1左移16位后与X2相加可以得到X;同样将Y[31:0]拆成两部分Y1[15:0]和Y2[15:0],令 Y1[15:0]=Y[31:16],Y2[15:0]=Y[15:0],则Y1左移16位后与Y2相加可以得到Y;则X与Y的相乘可以转化为X1和X2 分别与Y1和Y2相乘,这样一个32bit*32bit的乘法运算转换成了四个16bit*16bit的乘法运算和三个32bit的加法运算。转换后的占用资源将会减少很多,有兴趣的童鞋,不妨综合一下看看,看看两者差多少。

  3)时钟与触发器的关系

  “时钟是时序电路的控制者”这句话太经典了,可以说是FPGA设计的圣言。FPGA的设计主要是以时序电路为主,因为组合逻辑电路再怎么复杂也变不出太多花样,理解起来也不没太多困难。但是时序电路就不同了,它的所有动作都是在时钟一拍一拍的节奏下转变触发,可以说时钟就是整个电路的控制者,控制不好,电路功能就会混乱。

  打个比方,时钟就相当于人体的心脏,它每的跳动就是触发一个 CLK,向身体的各个器官供血,维持着机体的正常运作,每一个器官体统正常工作少不了组织细胞的构成,那么触发器就可以比作基本单元组织细胞。时序逻辑电路的时钟是控制时序逻辑电路状态转换的“发动机”,没有它时序逻辑电路就不能正常工作,因为时序逻辑电路主要是利用触发器存储电路的状态,而触发器状态变换需要时钟的上升或下降沿!由此可见时钟在时序电路中的作用!

  简单说一下体会吧,归结起来就多实践、多思考、多问。实践出真知,看100遍别人的方案不如自己去实践一下。实践的动力一方面来自兴趣,一方面来自压力,我个人觉得后者更重要。有需求会容易形成压力,也就是说能在实际的项目开发中锻炼,而不是为了学习而学习。在实践的过程中要多思考,多想想问题出现的原因,问题解决后要多问几个为什么,这也是经验积累的过程,如果有写项目日志的习惯更好,把问题及原因、解决的办法都写进去。还要多问,遇到问题思索后还得不到解决就要问了,毕竟个人的力量是有限的,问同学同事、问搜索引擎、问网友都可以,一篇文章、朋友们的点拨都可能帮助自己快速解决问题。

关键词:FPGA

版权与免责声明

凡本网注明“出处:维库电子市场网”的所有作品,版权均属于维库电子市场网,转载请必须注明维库电子市场网,https://www.dzsc.com,违反者本网将追究相关法律责任。

本网转载并注明自其它出处的作品,目的在于传递更多信息,并不代表本网赞同其观点或证实其内容的真实性,不承担此类作品侵权行为的直接责任及连带责任。其他媒体、网站或个人从本网转载时,必须保留本网注明的作品出处,并自负版权等法律责任。

如涉及作品内容、版权等问题,请在作品发表之日起一周内与本网联系,否则视为放弃相关权利。

广告
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!