FPGA约束的详细介绍

出处:电子发烧友网 发布于:2018-06-25 14:01:08

1、约束的目的

介绍FPGA约束原理,理解约束的目的为设计服务,是为了保证设计满足时序要求,指导FPGA工具进行综合和实现,约束是Vivado等工具努力实现的目标。所以首先要设计合理,才可能满足约束,约束反过来检查设计能否满足时序。主要涉及到xilinx vivado xdc约束语法,给出对应的ISE ucf 语法。另外quatus的语法和xdc几乎兼容,原理都一样。

时序约束中基本的是时钟,时钟有抖动(jitter),偏移(skew),占空比失真(duty cycle diSTorTIon)三种特性。

1、抖动,分为周期抖动(cycle jitter),周期差抖动(cycle to cycle jitter)和长期抖动(long term jitter)。

2、偏移和时钟线的长度,时序单元的负载电容和个数有关。FPGA的全局时钟采用全铜工艺和树状结构,偏移非常小,可以忽略。

3、占空比失真就是高低电平不对称,会吞噬时序裕量。

FPGA约束的详细介绍

2、基本的时钟约束

简单的情况,设计只有一个时钟。这时候需要对这个时钟的周期进行约束,(当然也可以对占空比进行约束,默认为50%)。如果不对时钟进行约束,我们就不知道自己的设计是否时序收敛。简单的说,比如系统时钟是200M的,那么周期就是5ns,也就是说信号从一个寄存器出来,经过了一系列的组合逻辑,要在下一个时钟的上升沿到来之前被目的寄存器采样到。当然考虑到,实际情况还复杂很多。

假如设计里有很多时钟,那么哪些时钟是必须要约束的呢?

首先是从端口进入FPGA的时钟。其他衍生时钟(如通过PLL或者MMCM生成的)跟它是同源时钟,工具会自动推导。然后是GT的rx_clk和tx_clk必须要约束。是用户自己分频产生的行波时钟(用于简单的低频应用),必须约束。

create_clock -name clk_200m -period 5 [get_ports I_clk200]

3、跨时钟域cdc约束

set_clock_groups –asynchronous -group [get_clocks -include_generated_clocks clk_1] \

-group [get_clocks -include_generated_clocks clk_2]

4、input delay

input delay和output delay都是分析的外部延时,与ISE的ucf约束FPGA内部延时恰恰相反。

5、output delay


OFFSET = OUT 4ns AFTER clock;

set_output_delay 6 -clock [get_clocks ] [get_ports ]

通过把input output register pack到IOB中,和约束slew可以更容易满足接口时序要求。


(* IOB = “true” *)


set_property IOB true [get_ports O_config_dat]

set_property SLEW FAST [get_ports O_config_dat]

关键词:FPGA

版权与免责声明

凡本网注明“出处:维库电子市场网”的所有作品,版权均属于维库电子市场网,转载请必须注明维库电子市场网,https://www.dzsc.com,违反者本网将追究相关法律责任。

本网转载并注明自其它出处的作品,目的在于传递更多信息,并不代表本网赞同其观点或证实其内容的真实性,不承担此类作品侵权行为的直接责任及连带责任。其他媒体、网站或个人从本网转载时,必须保留本网注明的作品出处,并自负版权等法律责任。

如涉及作品内容、版权等问题,请在作品发表之日起一周内与本网联系,否则视为放弃相关权利。

上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!