基于FPGA实现的音频接口转换电路

出处:电子产品世界 发布于:2018-08-16 11:59:32

  I2S总线是一种用于音频设备间传输数据的串行总线标准,该总线采用独立的时钟线与数据线,避免了时差诱发的失真。随着多媒体的广泛应用,该总线已被应用于越来越多的数字系统中。

  PCI总线是一种高性能的32/64位局部总线,理论传输速率可达132  Mbit/s,可支持多组外设,已经被各类主流处理器做为总线标注,是目前应用广泛的外围总线。如今大部分处理器并没有集成I2S接口,但在嵌入式系统中CPU经常使用PCI总线与外围设备进行交互,故需设计一种PCI—I2S接口转换电路,从而实现CPU与外围音频设备进行通信。目前实现此种接口转换电路主要通过PCI接口芯片与音频接口芯片等专用集成电路芯片在板级电路进行组合从而实现基于PCI的音频播放设备。此种电路虽然成熟可靠,但电路设计复杂、灵活性小而且需要占用大量的电路板空间。

  随着数字通信技术的发展,由于FPGA的灵活性与其较短的开发周期,在接口电路设计中的应用已经越来越广泛。本文提出一种使用FPGA实现PIC—I2S  的接口转换电路,不仅可以避免使用协议转换芯片,节省电路板上的空间,而且还大幅加强了系统的灵活性,方便维护升级。

  1 系统的硬件设计

  音频播放系统主要由立体声音频编解码器TLN320AIC23B,FPGA器件XC6SLX75以及处理器PowerPC8270组成。系统框图如图1所示,CPU将原始音频数据通过PCI总线传至FPGA后以每组数据16  bit的格式串行传输至音频芯片TLV320AIC23B,并由音频芯片将数字量转换为模拟音频信号输出。FPGA实现PCI接口功能和对音频编解码芯片的配置与数据传输功能,其内部主要由PCI协议接口,I2S协议接口,I2S配置寄存器,I2C协议接口以及FIFO存储器等组成。在设计PCI接口时,用户通过发起寄存器读写请求tarO_req,去访问后端寄存器,从寄存器交换数据,在CPU检测到都算逻辑未使用寄存器时,可发起占用请求并占用寄存器(tarO_gnt被置位),此时,PCI读写此寄存器中的数据。

  3 音频接口设计

  在驱动后端的音频芯片时首先需要通过I2C接口配置音频芯片的控制寄存器,此后根据音频数据不同的采样级别频率控制FPGA产生的时钟,终音频数据从FPGA内部的FIFO中通过FPGA产生的时钟依据I2S协议标准向音频芯片传输。在FPGA中设计的音频接口包括I2C时序协议接口模块,I2S时钟控制接口模块和I2S时序协议接口模块。

  3.1 I2C接口模块的设计

  I2C总线是由飞利浦公司开发的串行总线,总线由两根信号线构成,其中SCLK为时钟信号线,SDA为双向数据线。I2C总线上的所有设备均可做为主设备,每个设备在总线上都有的地址。

  立体声音频编解码器TLV320AIC23B中,共有11个寄存器需要配置,所有寄存器为只写寄存器。这些寄存器分别控制芯片输出音频的左右耳机音量、左右功放音量、芯片电源、采样率等参数。在芯片的配置参数传输时,以I2C的数据线(SDA)在时钟线(SCLK)为高时下降沿条件作为数据的起始位,此后每当FPGA向TLV320AIC23B传输8位数据时,TLV320  AIC23B在第9个时钟时通过SDA向FPGA返回一个ACK信号。如果FPGA确认收到ACK信号则继续传输下一组数据,否则将重复传输此组数据。实际中示波器测量的传输波形如图4所示。

  3.2 I2S时钟控制接口模块的设计

  由于不同的音频数据有着不同的采样级别频率,所以在传输不同音频文件时,FPGA提供给TLV320AIC23B的时钟频率不同,为使不同采样频率的声音文件都能在本系统上正常播放,故在FPGA内部使用其DCM由主时钟12.288  MHz生成16 kHz和32  kHz两种采样时钟频率,接入缓冲器BUFGMUX中,并且通过在PCI的配置空间中开辟一个寄存器,寄存器的值接至BUFGMUX的选择端,这样通过配置PCI总线配置此寄存器即可根据播放音频的情况选择时钟频率。

  3.3 I2S时序协议接口模块的设计

  I2S时序接口模块的主时钟由FPGA内部提供,模块内通过对主时钟进行分频产生BCLK与LRCin,模块在每个LRCin的上升沿由缓存FIFO中读取一个16  bit的数据放入临时寄存器,此后在BCLK的每个上升沿依次由高至低读取寄存器中的每一位并赋值给数据线SDIN,并且在LRCIN的下降延时重复传输此数据,从而完成音频数据的I2S协议传输。

  4 系统测试及结果

  通过在上位机中向CPU内部Flash烧录一段音频数据,此后通过访问FPGA的配置寄存器将此段数据传入至FPGA内64  kB的FIFO中,后端音频模块检测到FIFO非空即开始工作。通过逻辑仿真软件对系统进行仿真,结果如图5所示,信号线SDIN、  LRclk,BCLK输出结果符合I2S协议规范中左对齐模式。此后可以在音频芯片模拟输出端接入耳机或功放等音频播放设备,用示波器测量其模拟输出。结果证明此系统工作正常,可以按照要求播放16  kbit/s与32kbit/s WAV音频文件。通过示波器测量的模拟输出如图6所示,可以看出明显的包络信号。通过以上结论可以看出,系统可以充分利用FPGA  片内资源,从而减少对板内面积占用并减低系统功耗,也易于移植入同类的嵌入式系统中。

      在本文的基础上,可以进一步发挥FPGA的灵活性,比如在开发FPGA上支持PCI从设备DMA模式,以进一步加强PCI总线的读写效率、I2S总线的右对齐和DSP模式等,并且可以开发语音芯片上的语音采集功能,使系统实现语音采集、转换、传输等功能。

版权与免责声明

凡本网注明“出处:维库电子市场网”的所有作品,版权均属于维库电子市场网,转载请必须注明维库电子市场网,https://www.dzsc.com,违反者本网将追究相关法律责任。

本网转载并注明自其它出处的作品,目的在于传递更多信息,并不代表本网赞同其观点或证实其内容的真实性,不承担此类作品侵权行为的直接责任及连带责任。其他媒体、网站或个人从本网转载时,必须保留本网注明的作品出处,并自负版权等法律责任。

如涉及作品内容、版权等问题,请在作品发表之日起一周内与本网联系,否则视为放弃相关权利。

相关技术资料
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!