其他

一文读懂TTL电路的基本结构、工作原理和特性

分立元件门电路虽然结构简单,但是存在着体积大、工作可靠性差、工作速度慢等许多缺点。1961年美国德克萨斯仪器公司率先将数字电路的元器件和连线制作在同一硅片上,制成了集成电路。由于集成电路体积小、质量轻、工...

时间:2020-09-08 阅读:2006 关键词:电路

如何通过R10电位器线性改变VRF的电压值

由于工作性质和温控有关,公司主要从事恒温焊台开发,常用纯硬件936系列焊台多采用on/off控制方式,这种控制严格意义上来说都算不上是恒温,因为实际温度总是在目标温附近上下跳动。为了提升自己的能力本人自学了PID...

时间:2020-07-15 阅读:1419 关键词:电压

一种集成电路开短路测试方案详解

集成电路开短路测试分为开路测试(open short to VDD)和短路测试(open short to VSS)。一般来说,芯片的每个引脚都有泄放或保护电路是两个首尾相连的二极管,一端接VDD,一端接VSS,信号是从两个二极管的接点进来...

时间:2023-06-21 阅读:715

FPGA基本知识介绍

首先看数字电路,了解什么是与或非,各种触发器,各种逻辑门,注意!了解即可,但是是怎么工作的一定要透彻透彻透彻透彻!(FPGA用的是HDL也就是硬件描述语言,程序是用来描述你的逻辑门的,数电是根基!)书的话我...

时间:2018-04-21 阅读:2787 关键词:FPGA

一文解析FPGA的片上资源使用情况(组合逻辑及时序逻辑)

FPGA简介  FPGA(Field-Programmable Gate Array), 即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)...

时间:2018-04-18 阅读:3456 关键词:FPGA

集成电路简化驱动继电器印制板设计过程

继电器是一种电子控制器件,它具有控制系统(又称输入回路)和被控制系统(又称输出回路),是当输入量的变化达到规定要求时,在电气输出电路中使被控量发生预定的阶跃变化...

时间:2018-04-02 阅读:2283 关键词:电路电器集成集成电路继电器驱动

误差放大器改为集成运算放大器 实现晶闸管直流稳压器短路保护

尽管功率场效应VDMOS 和绝缘栅双极型晶体管IGBT等电力半导体元器件层出不穷,且在电力电子技术领域占据重要位置,晶闸管(可控硅) 却因耐高压耐大电流冲击的特性,仍有着稳固的阵地,受到用户的青睐。在摈弃电流采...

时间:2023-06-26 阅读:344

FPGA集成数据缓冲器与分离FIFO

许多年轻的工程师在工作中考虑潜在设计选项时首先想到可编程逻辑。然而,随着对可编程逻辑的日益依赖也给教授电路设计带来了经常被忽略的一些问题,这使许多年轻的工程师在...

时间:2017-11-24 阅读:1589 关键词:FPGA缓冲器集成

基于FPGA的智能卡验证平台设计

摘要: 随着集成电路设计技术的发展和芯片集成度的提高,验证已经成为芯片设计流程中的主要瓶颈。本文设计了一个基于FPGA的智能卡验证平台,并对验证方法做了详细阐述。本...

时间:2017-11-20 阅读:1538 关键词:FPGA

一种基于FPGA硬件求解函数的简化方法

摘 要:本文研究了一种运用FPGA进行数据处理的方法,包括:提取输入数据的高log2M个比特位的数据,作为高有效位,根据预先设置的目标函数的计算表格,查找所述高有效位对应...

时间:2017-11-17 阅读:1908 关键词:FPGA

CD4013集成电路信号传送特性及应用电路

简单的多地控制开关电路 CD4013  该电路用作节能灯的使用方法是:上楼时,按动一下AN1,H点亮。进房后再按动一下ANn,此时H熄灭。它与单稳态节能灯不同之处是,从按动AN1至按动ANn的时间可以随意,且不受时间和空...

时间:2023-06-20 阅读:438

怎样调试一个新设计的电路板

对于一个新设计的,调试起来往往会遇到一些困难,特别是当板比较大、元件比较多时,往往无从下手。但如果掌握好一套合理的调试方法,调试起来将会事半功倍。对于刚拿回来的新板,我们首先要大概观察一下,板上是否存...

时间:2017-10-10 阅读:1610 关键词:电路电路板

FPGA工程师不得不知的FPGA设计经验

这里谈谈一些经验和大家分享,希望能对IC设计的新手有一定的帮助,能使得他们能少走一些弯路!  在IC工业中有许多不同的领域,IC设计者的特征也会有些不同。在A领域的一个好的IC设计者也许会花很长时间去熟悉B领域...

时间:2017-09-08 阅读:1373 关键词:FPGAFPGA设计

基于FPGA的数字分频器设计

摘要:在设计数字电路过程中,通常所需的频率要根据给定的频率进行分频来得到。时钟分频又分为整数分频和小数分频,有时还有会有分数分频。当基准时钟与所需的频率成整数倍...

时间:2017-09-05 阅读:1515 关键词:FPGA

基于FPGA的猝发式直扩载波同步技术研究与实现

在高动态环境中,由于载波多普勒频移和收发端时钟漂移等因素的存在,直扩接收机必须通过才能在接收端消除频差并重构载波相位,以实现相干解调。在传统的技术中,锁频环具有...

时间:2017-08-29 阅读:1475 关键词:FPGA

如何满足复杂系统的高性能时序需求

时钟设备设计使用 I2C 可编程小数锁相环 (PLL),可满足高性能时序需求,这样可以产生零 PPM(百万分之一)合成误差的频率。高性能时钟 IC 具有多个时钟输出,用于驱动打印...

时间:2017-08-26 阅读:1299

西门子PLC学习技巧

西门子PLC的分类:德国西门子可编程序控制器在我国得到广泛应用,如在冶金、化工、印刷生产线等领域都有应用。西门子(SIEMENS)公司的产品包括LOGO、S7-200、S7-1200、S7-300、S7-400等。 西门子S7系列PLC体积小、...

时间:2017-08-24 阅读:1357 关键词:PLC

十年开发经验工程师谈FPGA设计技巧

从大学时代第一次接触FPGA至今已有10多年的时间,至今记得当初第一次在EDA实验平台上完成数字秒表、抢答器、密码锁等实验时那个兴奋劲。当时由于没有接触到HDL硬件描述语言,设计都是在MAX+plus II原理图环境下用74...

时间:2017-08-23 阅读:1254 关键词:FPGAFPGA设计

基于FPGA自适应数字频率计的设计

在电子工程,资源勘探,仪器仪表等相关应用中,频率计是工程技术人员必不可少的测量工具。频率测量也是电子测量技术中最基本最常见的测量之一。不少物理量的测量,如转速、...

时间:2017-08-14 阅读:1688 关键词:FPGA

智慧社区下的楼宇智能化系统集成设计

智慧社区的发展是一个持续过程,从80年代末开始,经过了几个阶段,包括智能化、数字化、智慧化,产品与技术从非可视楼宇对讲开始逐步向网络化、信息化、社区服务化方向发展...

时间:2017-07-10 阅读:1349 关键词:集成

上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!