降低功耗的设计技巧

出处:yj197812 发布于:2005-10-08 00:00:00

 使用这些设计技巧和ISE功能分析工具来控制功耗

  新一代FPGA的速度变得越来越快,密度变得越来越高,逻辑资源也越来越多。那么如何才能确保功耗不随这些一起增加呢?很多设计抉择可以影响系统的功耗,这些抉择包括从显见的器件选择到细小的基于使用频率的状态机值的选择等。

  为了更好地理解本文将要讨论的设计技巧为什么能够节省功耗,我们先对功耗做一个简单介绍。

  功耗包含两个因素:动态功耗和静态功耗。

  动态功耗是指对器件内的容性负载充放电所需的功耗。它很大程度上取决于频率、电压和负载。这三个变量中的每个变量均在您的某种控制之下。

  动态功耗=电容×电压2×频率

  静态功耗是指由器件中所有晶体管的泄漏电流(源极到漏极以及栅极泄漏,常常集中为静止电流)引起的功耗,以及任何其他恒定功耗需求之和。泄漏电流很大程度上取决于结温和晶体管尺寸。

  恒定功耗需求包括因终接(如上拉电阻)而造成的电流泄漏。没有多少措施可以采用来影响泄漏,但恒定功耗可以得到控制。

  尽早考虑功耗

  您在设计的早期阶段做出的功耗决定影响。决定采用什么元件对功耗具有重大意义,而在时钟上插入一个BUFGMUX则影响甚微。对功耗的考虑越早越好。

  恰当的元件

  并不是所有元件都具有相同的静止功耗。根据普遍规则,器件工艺技术尺寸越小,泄漏功耗越大。但并不是所有工艺技术都一样。例如,对于90nm技术来说,Virtex-4器件与其他90nm FPGA技术之间在静止功耗方面存在显著差异,

  然而,在静止功耗随工艺技术缩小而增加的同时,动态功耗却随之减小,这是由于较小的工艺有着更低的电压和电容。考虑好哪种功耗对你的设计影响更大——待机(静止)功耗还是动态功耗。

  除通用切片逻辑单元外,所有Xilinx器件都具有专门逻辑。其形式有块RAM、18×18乘法器、DSP48块、SRL16s,以及其他逻辑。这不仅在于专门逻辑具有更高的性能,还在于它们具有更低的密度,因而对于相同的操作可以消耗较少的功率。评估您的器件选项时,请考虑专门逻辑的类型和数量。

  选择适当的I/O标准也可以节省功耗。这些都是简单的决定,如选择的驱动强度或较低的电压标准。当系统速度要求使用高功率I/O标准时,计划一个缺省状态以降低功耗。有的I/O标准(如GTL/+)需要使用一个上拉电阻才能正常工作。因此如果该I/O的缺省状态为高电平而不是低电平,就可以节省通过该终接电阻的直流功耗。对于GTL+,将50Ω终接电阻的适当缺省状态设置为1.5V,可使每个I/O节省功耗30mA。

  数据使能

  当总线上的数据与寄存器相关时,经常使用片选或时钟使能逻辑来控制寄存器的使能。进一步来说,尽早对该逻辑进行“数据使能”,以阻止数据总线与时钟使能寄存器组合逻辑之间不必要的转换,如图1所示。红色波形表示原设计;绿色波形表示修改后的设计。


  另一种选择是在电路板上而不是在芯片上进行这种“数据使能”。以尽可能减小处理器时钟周期。此概念是使用CPLD从处理器卸载简单任务,以便使其更长时间地处于待机模式。

  让我们来看一个在状态7和状态8之间频繁进行状态转换的状态机。如果您为该状态机选择二进制编码,将意味着对于每次状态7和状态8之间的状态转换,将有四位需要改变状态,如表1所示。如果状态机采用格雷码而不是二进制码来设计,则这两个状态之间的转移所需的逻辑转换的数量将降至仅一位。另外,如果将状态7和8分别编码为0010和0011,也可以达到同样的效果。

  时钟管理

  在一个设计的所有吸收功耗的信号当中,时钟是罪魁祸首。虽然一个时钟可能运行在100MHz,但从该时钟派生出的信号却通常运行在主时钟频率的较小分量(通常为12%~15%)。此外,时钟的扇出一般也比较高——这两个因素显示,为了降低功耗,应当认真研究时钟。

  如果设计的某个部分可以处于非活动状态,则可以考虑使用一个BUFG-MUX来禁止时钟树翻转,而不是使用时钟使能。时钟使能将阻止寄存器进行不必要的翻转,但时钟树仍然会翻转,消耗功率。不过采用时钟使能总比什么措施也没有强。

  隔离时钟以使用少数量的信号区。不使用的时钟树信号区不会翻转,从而降低该时钟网络的负载。仔细布局可以在不影响实际设计的情况下达到此目标。

  对FPGA显然也可以使用同一概念。虽然FPGA不一定拥有待机模式,但使用一个CPLD中途栏截总线数据并有选择地将数据馈送到 FPGA 也可以省去不必要的输入转换。

  CoolRunner-II CPLD包含一种称为“数据门控”的功能,可以禁止引脚上的逻辑转换到达CPLD的内部逻辑。该数据门控使能可通过片上逻辑或引脚来控制。

  状态机设计

  根据预测的下一状态条件列举状态机,并选择常态之间转换位较少的状态值。这样,您就能够尽可能减少状态机网络的转换量(频率)。确定常态转换和选择适当的状态值,是降低功耗且对设计影响较小的一种简单方法。编码形式越简单(一位有效编码或格雷码),使用的解码逻辑也会越少。

  功耗估算工具

  赛灵思提供了两种形式的功耗估算工具:一种叫做Web Power Tools的设计前工具和一种叫做Xpower的设计后工具。Web Power Tools可通过 www.xilinx.com/cn/power 获得,它提供了根据逻辑利用率大概估计做出的功耗估算。利用它,您可以仅凭设计利用率估计就能获得功耗评估,而无需实际设计文件。

  XPower是一种设计后工具,用于分析实际器件利用率,并结合实际的适配后(post-fit)仿真数据(VCD文件格式),给出实际功耗数据。利用Xpower,您可以在完全不接触芯片的情况下分析设计改变对总功耗的影响。

  基于Web的功耗工具

  基于Web的功耗估计是在设计流程的早期获得器件功耗情况的快捷和方便的方法。这些工具每个季度都会发布新版本,因此信息总是的,且不需要安装或,只需要拥有互联网连接和Web浏览器即可。您可以指定设计参数并保存和加载设计设置,免去了通过交互使用重新输入设计参数的麻烦。只要有对设计行为的估计并选定目标器件即可开始。


  Xpower:集成的设计专用功耗分析

  Xpower是所有 Xilinx ISE设计工具的一个组件,您可以利用它对您的基于设计的功耗需求进行详细得多的估计。XPower是在映射或布局和布线后设计的基础上对器件功耗进行估计的。

  对于成熟的投产的FPGA和CPLD,XPower计算出的功耗估计的平均设计批量误差(suite error)小于10%。它将把器件数据与您的设计文件结合起来综合考虑,并按照您的专门设计信息给出估计器件功耗的高。

  XPower直接集成在ISE软件中,可提供层次化的详细的功耗显示、详细的总结和功耗向导,即使是新用户也可轻易上手。XPower可接受仿真的设计活动数据,并可以GUI模式和批处理模式运行。

  XPower将考虑设计中的每个网络和逻辑元素。ISE设计文件提供准确的资源使用情况;XPower交叉参考布线信息以及特性化电容数据。于是物理资源针对电容进行特性化。设计特性化将对新器件持续进行,以给出的结果。Xpower使用了网络翻转速率和输出负载。然后XPower计算功耗和结温,还可以显示单个网络的功耗数据。

  结论

  对更便宜和更简单的热管理以及与前沿FPGA不断提高的功耗需求相匹配的电源的不断增长的需求,将低功耗设计的概念提升到一个全新的高度。赛灵思器件Virtex-4 FPGA提供了90nm工艺技术的高性能,却避免了预想中静态功耗的显著增大。使用赛灵思功耗估算工具并遵循低功耗设计考虑事项,满足您的功耗目标将比以往任何时候都更加容易。


  

版权与免责声明

凡本网注明“出处:维库电子市场网”的所有作品,版权均属于维库电子市场网,转载请必须注明维库电子市场网,https://www.dzsc.com,违反者本网将追究相关法律责任。

本网转载并注明自其它出处的作品,目的在于传递更多信息,并不代表本网赞同其观点或证实其内容的真实性,不承担此类作品侵权行为的直接责任及连带责任。其他媒体、网站或个人从本网转载时,必须保留本网注明的作品出处,并自负版权等法律责任。

如涉及作品内容、版权等问题,请在作品发表之日起一周内与本网联系,否则视为放弃相关权利。

广告
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!