登录 免费注册 首页 | 行业黑名单 | 帮助
维库电子市场网
技术交流 | 电路欣赏 | 工控天地 | 数字广电 | 通信技术 | 电源技术 | 测控之家 | EMC技术 | ARM技术 | EDA技术 | PCB技术 | 嵌入式系统
驱动编程 | 集成电路 | 器件替换 | 模拟技术 | 新手园地 | 单 片 机 | DSP技术 | MCU技术 | IC 设计 | IC 产业 | CAN-bus/DeviceNe

非常着急,谢谢帮助!FPGA程序烧不进去!

作者:chncurrent 栏目:技术交流
非常着急,谢谢帮助!FPGA程序烧不进去!
我的XILINXXC2S100的fpga
硬件连好
接jtag找到rom和fpga
然后我现在想往fpga里写程序,在写的过程中就出现 说我的.msk文件不存在,无法写,这样的信息
昨天还好好的,不知到今天怎么就这样啦
请问哪位大哥知到是怎么回事啊?
谢谢!

参与讨论
昵称:
讨论内容:
 
 
相关帖子
关于TI
请问能否提供与wiznet公司的W3100功能相当的片子?
DVD一个IO口可不可以同时作为两个开关电路的切换信号
请教如何把一个脉冲的带负载能力提高
我使用MO3041奇怪的是?
免费注册为维库电子开发网会员,参与电子工程师社区讨论,点此进入


Copyright © 1998-2006 www.dzsc.com 浙ICP证030469号