登录 免费注册 首页 | 行业黑名单 | 帮助
维库电子市场网
技术交流 | 电路欣赏 | 工控天地 | 数字广电 | 通信技术 | 电源技术 | 测控之家 | EMC技术 | ARM技术 | EDA技术 | PCB技术 | 嵌入式系统
驱动编程 | 集成电路 | 器件替换 | 模拟技术 | 新手园地 | 单 片 机 | DSP技术 | MCU技术 | IC 设计 | IC 产业 | CAN-bus/DeviceNe

sos怎么编延时程序?

作者:gllhgpp 栏目:EDA技术
sos怎么编延时程序?
本人想用vhdl编延时时序,使用的是maxplus2
程序如下:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY textshixu0 IS
  PORT(clk,clr:  IN STD_LOGIC;
       signal1,signal2:IN STD_LOGIC;
       CONT,dcf1,dcf2:  OUT STD_LOGIC);
END textshixu0;

ARCHITECTURE textshixu0_arc OF textshixu0 IS
BEGIN
  PROCESS(clk,clr,signal1,signal2)
  
  BEGIN
        IF clr= '1' then
         CONT<='0';dcf1<='0';dcf2<='0';
       else if((clk'EVENT AND clk= '1') and signal1='1') then
     CONT<=transport signal1 after 40ns;
     dcf1<=transport signal1 after 80ns;
     dcf2<=transport signal1 after 120ns;
       else if((clk'EVENT AND clk= '1') and signal2='1') then
     CONT<=transport signal2 after 40ns;
     dcf2<=transport signal2 after 80ns;
     dcf1<=transport signal2 after 120ns;
      end if;
  end PROCESS;
end textshixu0_arc;
可是不能通过,好象是40ns....这种表述不对
是不是要用什么库还是格式问题
望高手指教
不胜感激,临贴涕零!

2楼: >>参与讨论
haharun
re
用计数器延时

参与讨论
昵称:
讨论内容:
 
 
相关帖子
不好意思啊,请教个弱智问题....
键盘去抖的问题
PLL
请教:倍频电路的写法!
使用ACTEL FPGA遇到的难题
免费注册为维库电子开发网会员,参与电子工程师社区讨论,点此进入


Copyright © 1998-2006 www.dzsc.com 浙ICP证030469号