登录 免费注册 首页 | 行业黑名单 | 帮助
维库电子市场网
技术交流 | 电路欣赏 | 工控天地 | 数字广电 | 通信技术 | 电源技术 | 测控之家 | EMC技术 | ARM技术 | EDA技术 | PCB技术 | 嵌入式系统
驱动编程 | 集成电路 | 器件替换 | 模拟技术 | 新手园地 | 单 片 机 | DSP技术 | MCU技术 | IC 设计 | IC 产业 | CAN-bus/DeviceNe

求助:我的程序编译不过,请高手指点

作者:crni 栏目:EDA技术
求助:我的程序编译不过,请高手指点
我的这段程序在quartus II 上编译没有问题,可是在max+plus II 上编译总是通过不了,有这样的错误提示: unsupported feature error: aggregates are supported ONLY for types that map to an array of bits
请问这是为什么呢,各位路过的大虾请多多指点啊!先谢谢了!!
以下是程序
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY srom IS                    
PORT (  input  :in  std_logic_vector(5 downto 0);
         OUTPUT :out std_logic_vector(3 downto 0));
END srom;
ARCHITECTURE example OF srom IS
subtype word is std_logic_vector(3 downto 0);
type MEMORY is array( 0 to 63) of  word;
constant s:MEMORY:=(("1110"),("0010"),("1101"),("0001"),("0010"),("1111"),("1011"),("1000"),
                     ("1110"),("0010"),("1101"),("0001"),("0010"),("1111"),("1011"),("1000"),
                     ("1110"),("0010"),("1101"),("0001"),("0010"),("1111"),("1011"),("1000"),
                     ("1110"),("0010"),("1101"),("0001"),("0010"),("1111"),("1011"),("1000"),
                     ("1110"),("0010"),("1101"),("0001"),("0010"),("1111"),("1011"),("1000"),
                     ("1110"),("0010"),("1101"),("0001"),("0010"),("1111"),("1011"),("1000"),
                     ("1110"),("0010"),("1101"),("0001"),("0010"),("1111"),("1011"),("1000"),
                     ("0011"),("1010"),("0110"),("1100"),("0101"),("1001"),("0000"),("0111"));
function logic2int(din:std_logic_vector(5 downto 0))
   return integer  is
      begin
        return   16*(conv_integer(din(5)&din(0)))+conv_integer(din(4)&din(3)&din(2)&din(1));
end function;
BEGIN
  PROCESS(input)
     BEGIN
          OUTPUT<=s(logic2int(input));
     END PROCESS;
END example;



* - 本贴最后修改时间:2005-8-23 14:56:02 修改者:crni

2楼: >>参与讨论
4wolf
没问题啊
试试把FUNCTION单独写到PACKAGE中呢.
我记得在VHDL1987的规范中,这样表示不符合规则.会产生WARNING不太确定.


3楼: >>参与讨论
crni
多谢高人指点!
多谢多谢!我按兄台的说法去试试看

4楼: >>参与讨论
crni
试了,还是不行
试了,还是不行,用quartus好,一点问题都没有,可以仿真,仿真完全正确

5楼: >>参与讨论
牧野流
是不是保存文件名的错误啊
在MAXP II  中的保存名要与实体名一致


6楼: >>参与讨论
asdfg0202
呵呵
那是因为Max-plus很多结构都不支持的原因呀,提示错误都说了是不支持呀,别太专注一个软件了,要配合着用呀,

参与讨论
昵称:
讨论内容:
 
 
相关帖子
第一次用maxplus2就编译不过去vhdl,提示是这样的:
请高手解释一下time browing!!
rrdw:关于vhdl中的+号问题
有谁做过有限状态机吗
一个奇怪的现象,我用verilog写的一段译码器成
免费注册为维库电子开发网会员,参与电子工程师社区讨论,点此进入


Copyright © 1998-2006 www.dzsc.com 浙ICP证030469号