登录 免费注册 首页 | 行业黑名单 | 帮助
维库电子市场网
技术交流 | 电路欣赏 | 工控天地 | 数字广电 | 通信技术 | 电源技术 | 测控之家 | EMC技术 | ARM技术 | EDA技术 | PCB技术 | 嵌入式系统
驱动编程 | 集成电路 | 器件替换 | 模拟技术 | 新手园地 | 单 片 机 | DSP技术 | MCU技术 | IC 设计 | IC 产业 | CAN-bus/DeviceNe

求助FPGA问题!

作者:覃卫宁 栏目:EDA技术
求助FPGA问题!
自己画了一块板子,FPGA是ALTERAEP1C12F256I7,Nand FLASH用的是SAMSUNGK9F2G08U0MFLASH的管脚直接与FPGA的IO相连。跑自己编的程序时,读FLASH的程序都能返回值,如读数据返回FF,读ID则返回正确的EC-DA-80-15,但是写程序和擦除程序就是死活也收不到FLASH的r/b的低电平信号,也就是写不进去东西。目前很困惑,是我硬件设计得有问题还是软件上还需要什么逻辑变换??请各位高手指教!急!
可以通过E-mail探讨一下,谢谢!
weining_qin@163.com,目前在北京一研究所工作!

2楼: >>参与讨论
吴明诗
可否给程序一看
bluewind7879@sohu.com

3楼: >>参与讨论
覃卫宁
不好意思
不好意思,程序在办公室,整个工程也有点大,而且我们属于保密单位,有一些涉密的问题!今天偶然当中写进去了一些数据,不过再试的时候就不行了,好像是硬件上的问题吧!具体原因还不太清楚,就是整个程序的性能还不稳定!谢谢指教!

4楼: >>参与讨论
吴明诗
哦,这样子呀,应该先看看硬件友没有接触不好的地方
 
5楼: >>参与讨论
覃卫宁
您用过SAMSUNG的NAND FLASH吧?
用FPGA控制的时候是不是不需要额外的逻辑转换电路?以前听我同事说好像需要逻辑转换,把NAND转换成NOR,然后就可以写进去了!是这样子的吗??

6楼: >>参与讨论
吴明诗
没用过,帮不上
 
7楼: >>参与讨论
覃卫宁
哪位前辈用过这款FLASH
请教用过这款FLASH的前辈,根据三星的芯片文档,在管脚r/b上接的上拉电阻和接地电容是否对写操作和擦除操作有影响?

参与讨论
昵称:
讨论内容:
 
 
相关帖子
vhdl写的0~~9999四位输出计数器。有问题!!!忘赐教~~
isp1032遇到的问题
帮我看看maxplus的这个错误提示阿
请问大家如何在ISE中调用ModelSim
求救!!!!
免费注册为维库电子开发网会员,参与电子工程师社区讨论,点此进入


Copyright © 1998-2006 www.dzsc.com 浙ICP证030469号