登录 免费注册 首页 | 行业黑名单 | 帮助
维库电子市场网
技术交流 | 电路欣赏 | 工控天地 | 数字广电 | 通信技术 | 电源技术 | 测控之家 | EMC技术 | ARM技术 | EDA技术 | PCB技术 | 嵌入式系统
驱动编程 | 集成电路 | 器件替换 | 模拟技术 | 新手园地 | 单 片 机 | DSP技术 | MCU技术 | IC 设计 | IC 产业 | CAN-bus/DeviceNe

帮忙推荐个廉价的CPLD

作者:楚天 栏目:EDA技术
帮忙推荐个廉价的CPLD
能装下两个16位定时器,一个SPI从就可以。要ISP,表贴。越便宜越好。1K/M
谢谢大家。

2楼: >>参与讨论
王紫豪
用便宜的单片机啊,
 
3楼: >>参与讨论
楚天
因为还有别的功能也要用到CPLD
所以……

4楼: >>参与讨论
wenming
EPM3128
 
参与讨论
昵称:
讨论内容:
 
 
相关帖子
请教如何在FPGA中产生随机数?
转让原装xilinx的usb下载线和cpld开发板
请做过EPM240的朋友帮我检查一下电路图
初学VHDL,做的巴克码发生器,接受大家批判!
求教EPM240的问题
免费注册为维库电子开发网会员,参与电子工程师社区讨论,点此进入


Copyright © 1998-2006 www.dzsc.com 浙ICP证030469号