您现在的位置:首页 > IC > E字母型号搜索 > E字母第1951页 >

EPM7064SLC84-5

更新时间:2024-04-24 19:17:31
  • 供应商
  • 型号
  • 服务标识
  • 数量
  • 厂商
  • 产品参数
  • 说明
  • 询价
IC热门型号推荐优势:品质保障[放心]、稳定库存[安心]、优质商家[省心] 点击搜索体验
EPM7064SLC84-5的中文资料 图文及资料仅供参考,以实际PDF为准

EPM7064SLC84-5外观图

  • 标准包装:75
  • 类别:集成电路 (IC)
  • 家庭:嵌入式 - CPLD(复杂可编程逻辑器件)
  • 系列:MAX® 7000
  • 可编程类型:系统内可编程
  • 最大延迟时间 tpd(1):5.0ns
  • 电压电源 - 内部:4.75 V ~ 5.25 V
  • 逻辑元件/逻辑块数目:4
  • 宏单元数:64
  • 门数:1250
  • 输入/输出数:68
  • 工作温度:0°C ~ 70°C
  • 安装类型:表面贴装
  • 封装/外壳:84-LCC(J 形引线)
  • 供应商设备封装:84-PLCC(29.31x29.31)
  • 包装:托盘
EPM7064SLC84-5 相关信息
  • CPLD在高速数据采集系统中的应用
    ...冲到锁存器(H),将此高4位地址锁存;最后通过392H端口进行读写,只要PC-AB上出现392H信号,解码电路就输出一个低电平到双口RAM的/CS,再根据相应的读写控制信号就能进行读写操作。 用ALTERA公司的EPM7064SLC84-5实现这一接口的VHDL文件如下:PORT (PCDB: INOUT std_logic_vector(7 downto 0); PCAB: IN std_logic_vector(9 downto 0); PCRD: IN std_logic; PCWR; IN std_logic; RAMDB:INOUT...
  • 简易通用型PCI接口的VHDL-CPLD设计
    ... CASE;END IF;END PROCESS state_change;END behave。图55 MaxPlusII的验证设计CPLD时,可使用MaxPlusII软件来进行逻辑综合、功能模拟与定时分析。本例选用Altera的Max7000系列在系统可编程器件EPM7064SLC84-5。图5所示是其读写访问的仿真波形图。 来源:零八我的爱
EPM7064SLC84-5 相关搜索
广告
视频广告
广告

IC型号索引: A B C D E F G H I J K L M N O P Q R S T U V W X Y Z 0 1 2 3 4 5 6 7 8 9

在采购EPM7064SLC84-5进货过程中,您使用搜索有什么问题和建议?点此反馈

免责声明:以上所展示的EPM7064SLC84-5信息由会员自行提供,EPM7064SLC84-5内容的真实性、准确性和合法性由发布会员负责。维库网不承担任何责任。

友情提醒:为规避购买EPM7064SLC84-5产品风险,建议您在购买EPM7064SLC84-5相关产品前务必确认供应商资质及产品质量。推荐使用"DZSC委托交易服务",买卖都安全。

温馨提示 ×

因腾讯功能限制,可能无法打开QQ临时会话( 点此复制QQ,添加好友),建议您使用 阿库在线聊天询价。

上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈
返回顶部

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!

我要上传PDF

* 型号
*PDF文件
*厂商
描述
验证
按住滑块,拖拽到最右边