登录 免费注册 首页 | 行业黑名单 | 帮助
维库电子市场网
技术交流 | 电路欣赏 | 工控天地 | 数字广电 | 通信技术 | 电源技术 | 测控之家 | EMC技术 | ARM技术 | EDA技术 | PCB技术 | 嵌入式系统
驱动编程 | 集成电路 | 器件替换 | 模拟技术 | 新手园地 | 单 片 机 | DSP技术 | MCU技术 | IC 设计 | IC 产业 | CAN-bus/DeviceNe

请问Quartus中,以下警告怎么解决呀?

作者:ping2066 栏目:EDA技术
请问Quartus中,以下警告怎么解决呀?
Warning: Found pins functioning as undefined clocks and/or MEMORY enables
Warning: Can't achieve timing requirement Clock Setup: 'odck' along 5626 path(s).
Critical Warning: Design Assistant warning: Clock signal should be a GLOBAL signal. Found 4 node(s) related to this rule.
Warning: Design Assistant warning: Clock signal source should drive ONLY input clock ports. Found 2 nodes related to this rule.

2楼: >>参与讨论
bluefancy
我也是新手,也遇到过,这是斑竹XJG111的解答,
“如果你不想看到这个警告可以按如下设置:
你在assigenmet菜单下
点 setting..
选Timing requiremengs&options
在clock setting里选settings fo in....
然后自己设置一下就行了。

具体的自己操作吧。”



参与讨论
昵称:
讨论内容:
 
 
相关帖子
CPLD可不可已与PC并行通讯?
用max plus2可不可以使用运算符“**”?
同步相对于异步电路的优点是不是为了防止建立保持时间的违反?
急!请教端口配置!
某芯片的DATASHEET中一个字母代号不认识,请教!
免费注册为维库电子开发网会员,参与电子工程师社区讨论,点此进入


Copyright © 1998-2006 www.dzsc.com 浙ICP证030469号