登录 免费注册 首页 | 行业黑名单 | 帮助
维库电子市场网
技术交流 | 电路欣赏 | 工控天地 | 数字广电 | 通信技术 | 电源技术 | 测控之家 | EMC技术 | ARM技术 | EDA技术 | PCB技术 | 嵌入式系统
驱动编程 | 集成电路 | 器件替换 | 模拟技术 | 新手园地 | 单 片 机 | DSP技术 | MCU技术 | IC 设计 | IC 产业 | CAN-bus/DeviceNe

VHDL“算术左/右移”和“逻辑左/右移”的区别是什么?

作者:温柔的毒药 栏目:EDA技术
VHDL“算术左/右移”和“逻辑左/右移”的区别是什么?
作一个乘法器时。要用到左移一串数据。

看到关于左移的运算符有两个:SLL  逻辑左移; SLA  算术左移:

那位大侠知道这二者的区别是什么?以及怎么用这个运算符吗?

能给我举个例子吗?

  谢谢
           在线等着    LOAD......

2楼: >>参与讨论
不败的我
请教
我顺便也想问一问
请指教

3楼: >>参与讨论
温柔的毒药
不知道对不对?
SLL 是将位置向左移,左边的跟进的位补“0” SRL 的功能与SLL相反;
ROL 它们的移出位用将用于依次填补移空的位,执行的是自循坏式移位方式,
SLA 是算术左移,其移空位用最初的首位来填补~

4楼: >>参与讨论
温柔的毒药
奇怪!
奇怪的是为什么我的MAXPLUSII 不认这些操作符!~~

什么原因?这好像上VHDL’93标准新增的运算符,我要用的话

应该怎么设置MAXPLUSII 我的是10。2版的!

5楼: >>参与讨论
kartoffel
好像没办法
好像没有办法设置,MaxPlusII就是不支持,换其他综合器吧,比如SynplifyPro

6楼: >>参与讨论
温柔的毒药
re
从那里可以找到SynplifyPro
的破解版呀!

找了好久了!~~~

参与讨论
昵称:
讨论内容:
 
 
相关帖子
vhdl多值控制问题
如何把一个普通的adder综合成一个look ahead adder????
大家看这个要求用VHDL设计有难度没?
MAXPLus10的下载线ByteBlaster,Quartus能否使用??
EP1K30TC144-3(2.5V)和AT89C52连接中间需要电平转换吗?
免费注册为维库电子开发网会员,参与电子工程师社区讨论,点此进入


Copyright © 1998-2006 www.dzsc.com 浙ICP证030469号