当前位置:维库电子市场网>IC>epm3128 更新时间:2024-04-18 19:58:03

epm3128供应商优质现货

更多>
  • 供应商
  • 产品型号
  • 服务标识
  • 数量
  • 厂商
  • 封装/批号
  • 说明
  • 询价
  • EPM3128ATI100-10N

    合格抽查:二周前
  • 严选现货

    严选现货= 现货+好口碑+品质承诺

    带有此标记的料号:

    1. 表示供应商具有较高市场知名度,口碑良好,缴纳了2万保证金,经维库认证中心严格审查。

    2. 供应商承诺此料号是“现货” ,如果无货或数量严重不足(实际数量不到显示数量一半),投诉成立奖励您500元。

  • -

  • ALTERA

  • TQFP100/18+

  • -

  • EPM3128ATC144-10N

  • 严选现货

    严选现货= 现货+好口碑+品质承诺

    带有此标记的料号:

    1. 表示供应商具有较高市场知名度,口碑良好,缴纳了2万保证金,经维库认证中心严格审查。

    2. 供应商承诺此料号是“现货” ,如果无货或数量严重不足(实际数量不到显示数量一半),投诉成立奖励您500元。

  • 900

  • ALTERA(阿尔特拉)

  • TQFP144/22+

  • 专营ALTERA品牌价优

  • EPM3128ATC100-10N

  • 优势
  • 18360

  • ALTERA

  • 100TQFP/22

  • 代理分销商,全新原装进口,部分现货热卖,接受订货

epm3128PDF下载地址

epm3128价格行情

更多>

历史最低报价:¥0.0000 历史最高报价:¥0.0000 历史平均报价:¥0.0000

epm3128中文资料

  • LPC2131基于CPLD的CAN接口设计

    。自从进入20世纪90年代以来,可编程逻辑器件得到了飞速发展,向高度集成、高速度和低价位方向不断迈进;其应用领域不断扩大,可用于状态机、同步、译码、解码、计数、总线接口和串并转换等很多方面。使用cpld可以提高系统集成度,降低噪声,增强系统可靠性并降低成本。 cpld技术的出现,为我们提供了一种有效的解决办法:在can驱动器接口与lpc2131之间接一块cpld,对cpld进行功能编程,使其负责串行总线的数据传输和防止can发送反射。 选择altera公司max3000a系列的型号为epm3128atc100-7(简称“epm3128”)的cpld芯片。此芯片兼容3.3 v和5 v的i/o 口。这样,lpc2131、epm3128和tja1040在i/o电平上是相互匹配的。 1 epm3128接口定义 epm3128设置成双向串行总线通道。其中,2个i/o口被定义为canrxd(in)、cantxd(out),分别连接can收发器tja1040的rxd和txd端,构成can总线上接收数据和发送数据通道;另外2个i/o口被定义为armrxd(in)、armtxd(out),分别

  • 基于EPM3128的HDB3编译码器的实现

    摘要: 数字基带信号的传输是数字通信系统的一个重要组成部分, h db3 编码是数字基带信号传输中常用的传输码型。本文介绍了hdb3 编码规则, 提出了一种基于epm3128 实现编译码的方法, 该方法具有成本低、电路简单、执行速度快、升级方便等特点。同时由于cpld 可重复编程的特点, 可以对它进行在线修改, 便于设备的调试和运行。此编译码器已经过实际测试, 运行稳定可靠, 可用于实际电路中。 0 引 言 数字基带信号的传输是数字通信系统的重要组成部分。 在数字基带传输系统中, 从信源输出的信号一般是用“ 0” 、“ 1”两种状态表示的单极性不归零码( nrz 码) 。在进行数字信号基带传输时, 必须考虑到传输信道的特点, 将信息比特变换为适合于信道传输的数字信号, 即进行线路编码。传输线路对码型的基本要求为: 1) 容易提取定时信号; 2) 不含有直流分量; 3) 低频成分和高频成分应尽量减少; 4) 设备简单、容易实现编解码。 而hdb3 码因其无直流成分、低频成分少和连0 个数最多不超过3 个等特点, 而对定时信号的恢复十分有利

  • 一种基于PCI总线和DSP技术的虚拟仪器设计

    主要信息包括:设备识别号、供应商代号、四个局部总线空间的大小以及空间的基地址等。可以事先通过编程器将配置信息写入配置eeprom中,也可在系统启动后用plxmon对eeprom进行操作。 2 系统控制逻辑的实现 由于cpld器件掉电后可保存芯片内部程序,无须烦琐的重复烧写,因此本设计采用altera公司的cpld器件,作为pci接口芯片及存储芯片的逻辑控制。考虑到需要使用局部地址/数据各16根线,控制信号线22根,还要为数据采集电路预留些i/o引脚,最后决定采用144脚tqfp封装的epm3128。 在本系统中,epm3128的主要功能是实现pci local端的地址译码、dsp local端对各个dram控制的地址译码、对板上功能选择开关的状态进行译码,从而实现对系统功能的配置以及对各芯片的控制信号进行逻辑译码。所有的译码工作都通过vhdl编程语言来实现。综合和编译工作是在altera公司的quartusii集成编译环境中完成的。源程序代码请参阅《今日电子》网站本文章的完整版。 3 数据采集电路的实现 数据采集电路是本系统的关键,数据采集电路设计的好坏将直接影响到本系

  • 单片机接口控制彩色液晶屏方案

    择;主要由五部分构成:输入信号、多媒体显示控制器、tft液晶屏、逆变器及配件。 原理框图2-1 a.适用输入信号: 8bit并口数据总线,如单片机(8051、51 、96 、x86 、8088 、z80 、dsp 等),arm等 b.单片机接口控制方案特点: (1) 单片机接口控制方案是杭州平望科技公司推出,采用 altera 公司大规模可编程集成电路( fpga ) epm3128 编程实现,性能稳定,不局限于单色的字体问题,高宽温,高亮度,大视角具有与计算机接口和操作指令简单统一、可以对显示存储器进行实时的读写操作的特点。适用于多种液晶屏,如真彩色 tft-lcd (数字接口&模拟接口)、但它也存在一定的局限性:功能简单,局限于中低分辨率 ,产品升级移植不方便,目前产片料号:pvt050-256,pvt060-256。 (2) 控制lcd色彩: ● tft(真彩色)lcd模拟屏:5寸,5.6寸,可实现256色四页缓存。 ● tft(真彩色)

  • 基于DSP的运动控制器的研究与开发

    5 个外设级中断和 3 个外部中断,提取中断向量和保存现场只需 9 个时钟周期,响应迅速; 片上集成了多种先进的外设,包括两个事件管理器(ev)、12 位 a/d、两个串行通信接口(sci)、一个串行外围接口(spi)以及一个多通道缓冲串行接口(mcbsp)等;其通用输 入/输出多路复用器(gpio)拥有多达 56 个 i/o 口,在系统的软件开发中正是利用了这些丰富的内外设资源,才实现了系统要求的各种功能。 本系统中选用的 cpld 是 altera 公司 max3000a 系列的 epm3128,这是一款高性能、 低功耗的基于 eeprom 的 pld。由于本系统的控制对象是步进电机,所以设计中主要利用tms320f2812 的 gpio 口进行电机控制接口与 i/o 接口的输入输出,但是由于 tms320f2812是低功耗处理器,其 gpio 引脚的输出驱动能力有限,而且由于 dsp 是主控核心,负载比 较多,所以将所有输出信号都经过 cpld 驱动后输出,提高信号的驱动能力。此外,cpld还用于系统电路的译码,增加系统设计的灵活性和可扩展性。 1.2 通信接口模块 本系

  • 关于after语句

    关于after语句想问各位,我现在用altera的epm3128,我有个信号要延时一下,我在用vhdl,我如果用after 50ns,我的我的意思在epm3128器件中是不是真会在50ns以后才把信号代入?还是这句话在仿真的时候才会有用? 有个朋友告诉我有时候after语句不好使,他劝我用做计数器来做延时。请问各位after语句在真实的电路中有用吗?而且各个器件的gate to gate的延时时间也不一样,器件用after怎么确定是精确延时50ns?谢谢

  • 今天买了ARM仿真器,帮我看看是什么类型的。

    今天买了arm仿真器,帮我看看是什么类型的。我拆开来看了一下,主要由两个芯片,一个是epm3128,一个是mega8l。请问这是哪种类型的arm仿真器,它支持arm全系列的吗?

  • 【欢迎讨论】CPLD连接89C52 P0口的问题

    c52的p0 口的低4位与cpld(epm3128)直接相连,写入 mov p0,#0ffh后,发现低4位全0,高4位随p0口变化正常,(由于周围还有245与p0口相连,今天才找到是cpld的原因)割断 低4位与cpld的连接后,p0口输出正常欢迎讨论原因哈哈:)

  • 单片机显示方案简单总结

    象,色彩达到256色,但是微彩屏毕竟只是属于单色到真彩色阶段的过度,或者说替代其效果和亮度自然不是很理想。3。单片机显示真彩色液晶屏优点:色彩鲜艳,亮度高,图象清晰逼真。成本:随着真彩色液晶屏的进一步降价,真彩色液晶方按已经跟伪彩方案在成本上相差无几了。但是目前单片机驱动真彩色液晶屏的方按好的不多,最高支持256色,很多驱动方按的显示速度和效果不是很理想。我选择了一个使用过后感觉比较好的方按跟大家介绍一下该驱动方案名称为:pwa方案,采用 altera 公司大规模可编程集成电路( fpga ) epm3128编程实现,性能稳定,不局限于单色的字体问题,高宽温,高亮度,大视角。 适配于 cpu : 51 、 96 、 x86 、 8088 、 z80、 dsp 、arm等,可控制 5 寸、 5.6 寸彩色液晶屏( 320*rgb*234 ),采用 i/o 连接,缓存采用 sarm ,可储存4 页显示内容,可实现 256色,为提高读写速度、简化程序,显示屏中每个点映射显示缓存中的一个字节,显示屏中的行列号与缓存器的行列号一一对应,详细见资料。

  • 帮忙推荐个廉价的CPLD

    epm3128

epm3128替代型号

EPM3064ATI44-10 EPM3064ATC44-10 EPM3064ATC100-10 EPM3064A EPM3064 EPM3032ALC44-4 EPM3032A EPM3032 EPM240T100C5N EPM240T100C5

EPM3128A EPM3128ATC100-10 EPM3128ATC144 EPM3128ATC144-10 EPM3256 EPM3256AQC208 EPM3256AQC208-10 EPM3256ATC144 EPM3256ATC144-10 EPM570

相关搜索:
epm3128相关热门型号
EP2C5F256C8N ETC5057D EN25Q64-104HIP ECLAMP1002A.TCT EFCH9418TDL6 EPM7256AEFC256-10 EL3052 EPC1PI8N EL6215CUZ EPCS16N

快速导航


发布求购

我要上传PDF

* 型号
*PDF文件
*厂商
描述
验证
按住滑块,拖拽到最右边
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈
返回顶部

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!