当前位置:维库电子市场网>IC>epm7128s 更新时间:2024-04-25 23:33:28

epm7128s供应商优质现货

更多>
  • 供应商
  • 产品型号
  • 服务标识
  • 数量
  • 厂商
  • 封装/批号
  • 说明
  • 询价
  • EPM7128SQC160-15N

    合格抽查:三周前
  • 严选现货

    严选现货= 现货+好口碑+品质承诺

    带有此标记的料号:

    1. 表示供应商具有较高市场知名度,口碑良好,缴纳了2万保证金,经维库认证中心严格审查。

    2. 供应商承诺此料号是“现货” ,如果无货或数量严重不足(实际数量不到显示数量一半),投诉成立奖励您500元。

  • -

  • ALTERA

  • QFP/1337+

  • -

  • EPM7128SLC84-6

  • 严选现货

    严选现货= 现货+好口碑+品质承诺

    带有此标记的料号:

    1. 表示供应商具有较高市场知名度,口碑良好,缴纳了2万保证金,经维库认证中心严格审查。

    2. 供应商承诺此料号是“现货” ,如果无货或数量严重不足(实际数量不到显示数量一半),投诉成立奖励您500元。

  • 204

  • ALTERA

  • PLCC/0719+

  • 全新原装现货库存 询价请加 有其他型号也可咨询

epm7128sPDF下载地址

epm7128s价格行情

更多>

历史最低报价:¥0.0000 历史最高报价:¥0.0000 历史平均报价:¥0.0000

epm7128s中文资料

  • 基于CPLD的120MHz高速A/D采集卡的设计

    主要的软件设计思路。采用该设计方法设计的数据采集卡具有包括负延迟触发等多种触发方式,具有体积小,工作可靠,控制简单等特点。 关键词:高速a/d;cpld;负延迟触发;epm7128;ad9054 高速a/d采集技术已在许多领域得到愈来愈广泛的应用,本文将详细论述采用cpld技术来实现120mhz高速a/d采集卡的设计方法,该采集卡具有包括负延迟触发在内的多种触发方式,采用cpld复杂可编程逻辑器件(又称fpga)epm7128sqc100-7和ad公司的高速模数转换器(a/d)ad9054bst-135来实现。 1 芯片介绍

  • PC/104总线与TMS320VC5402 HPI口通信的解决方案

    寄存器hpid,具体方式如表2所示。表2 hcntl0/1功能选择 hntl0 hcntl1 说 明 0 0 主机可以读写hpi的控制寄存器hpic。 0 1 主机可以读写hpi的数据寄存器hpid。每次读后hpia加1,每次写前hpia加1。 1 0 主机可以读写hpi的地址寄存器hpia。 1 1 主机可以读写hpi的数据寄存器hpid。对hpi口的操作,hpia不受影响。 2 系统的硬件设计方案 整个硬件系统由dsp、epm7128s、tps73hd301、sst39vf400a等组成。dsp的主要任务是将经放大和解调后的无线电修正通道视频信号接收下来,现通过译码等处理后送给主探机。其原理如图1所示。 c5402采用低电压工作,其内核电压为1.8v、i/o管脚电压为3.3v,而pc/104总线工作于5v电压。为了使电路能稳定正常的工作,c5402的数据总线接有一个sn74lvc245a。它是ti公司生产的3.3v和5v电压平相转换的双向缓冲器,可以双电源供电,一边工作在3.3v,一边工作在5v,转换的方向由两个dir管脚控

  • USB接口芯片FT245AM的原理

    9测试仪选取4路接收、2路发送的结构。当然,如果允许外接电源,还可以实现更多的收发路数,但这样会降低usb总线的方便性。由于arinc429总线的传输速率最大为100kbps,而usb1.1的通信能力可达12mbps。,考虑到协议的额外开销,一路usb总线同时完成2路arinc429总线的发送和4路接收。为了提高arinc429总线测试仪的实时性,可选用高速mcu控制usb接口芯片ft245am,但mcu没有足够的i/o数,无法满足与arinc429控制芯片连接的i/o管脚,因此,可选用cpldepm7128s来完成ft245am的控制和数据传输。基于usb的arinc429总线测试仪结构如图4所示。图5所示是ft245am部分的外围电路。在谝测试仪电路中,epm7128s用于完成arinc429总线控制芯片dei1016与usb控制芯片ft245am的逻辑变换,以使usb主机可以实时发送数据到arinc429总线设备,并实时接收设备返回的数据,以供主机中的测试软件进行记录和检测,从而满足设备故障的诊断和定位之需。 2.2 epm7128s与ft245am的接口实现epm7128s与ft245a

  • 一种无线语音传输系统设计方案

    适合应用于低比特率、高性能密集设备的话音传输、识别及合成等的各种voip、电缆调制解调器、语音和电话领域。 3系统的硬件连接 接收/发射机应满足便携式电池供电设备的一些基本要求,才能适用于无线rf应用。这些基本要求为:方案成本低,体积小,低功耗,符合电池供电要求,集成度高,无需微调外部元件,外围元件极少,加工更容易,数据传输率高,传输时间更短,接口简单,可以与廉价的单片机接口。本文所设计的无线集群语音传输系统由单片射频收发芯片nrf401、微控制器msp430f1121、tlv320aic10、epm7128s等芯片组成。其系统的硬件连接如图2所示。 msp430f1121是ti公司生产的超低功耗微控制器,具有16位risc结构,16位cpu寄存器和常数寄存器,4kb rom,256b flash,256b ram,指令周期时间125ns,超低工作电压(1.8v-3.6v),超低功率消耗(1.3ua-160ua),具有5种省电模式,可串行在线编程,程序代码由加密熔丝保护。从图中可以看出,微控制器是系统的"主管",负责运行协议、控制nrf401的收发状态, 完成编解码,并运行系统的应用软件和硬件,从而节

  • 基于CPLD的DSP与声卡的接口技术

    1引言使用复杂可编程逻辑器件(cpld)可提高系统集成度、降低噪声、增强系统可靠性并降低成本,同时它不仅具有电擦除特性,而且出现了边缘扫描及在线编程等高级特性,因而可用于状态机、同步、译码、解码、计数、总线接口等很多方面,在信号处理领域的应用也非常活跃。max7000系列是altera公司采用先进的0.8μm cmos eeprom技术制造的高性能、高密度的cpld[1]。max7000的结构可完全模仿tfl,并可将ssi,msi,lsi和传统pld的逻辑函数高密度地集成。epm7128s是max7000系列的高密度器件,它有128个逻辑宏单元和最大100个输入,具有在系统编程(isp)特性,非常适合输入、输出端要求较多的逻辑复杂的控制密集型系统。将epm7128s用到dsp与isa总线声卡的接口电路中,不仅使整个系统体积显著减小,且硬件故障率明显降低,调试工作也变得很容易。dsp与isa总线声卡的接口原理声卡的工作原理 图1示出了声卡的基本工作原理:主机通过总线将数字化的声音信号以pcm方式送到数模转换器(d/a),将数字信号变成模拟的音频信号;同时又可以通过模数转换器(a/d)将传

  • 什么是CPLD

    有问题,可重新修改原理图或硬件描述语言,完善设计。设计好后,如批量生产,可直接复制其他cpld芯片,即写入代码即可。如果要对芯片进行其它设计,比如进行交通灯设计,要重新画原理图、或写硬件描述语言,重复以上工作过程,完成设计。这种修改设计相当于将房屋进行了重新装修,这种装修对cpld来说可进行上万次。 家庭成员: 经过几十年的发展,许多公司都开发出了cpld可编程逻辑器件。比较典型的就是altera、lattice、xilinx世界三大权威公司的产品,这里给出常用芯片: altera epm7128s (plcc84) lattice lc4128v (tqfp100) xilinx xc95108 (plcc84)

  • VHDL在数字系统设计中的运用

    ,各模块输出为零,数码管显示为零。当common(5~0)选中某个数码管时,segment(7~0)也输出对应位的数字。例如:当common(5~0)为‘01’时,选中的是第一个数码管,此时segment(7~0)输出的是秒个位sec0的数字。 仿真结束后,就可以将设计文件top编程下载到芯片中去。连接硬件系统后,选择“max+plusii”—>“programmer”菜单,调出编程器(programmer)窗口。一切就绪后,按下编程器窗口中的“program”按钮,设计的内容就下载到芯片epm7128s中去。3结论 运用vhdl设计电子钟,硬件电路简单,开发周期短,成本低,同时也能提高系统的可靠性和精度。 参考文献 [1] 潘松,王国栋.vhdl实用教程[m].电子科技大学出版社,2002.[2] 王志东,邓仰东.数字集成系统的结构化设计与高层次综合[m].清华大学出版社,2001.[3] 候伯亨,顾新.vhdl硬件描述语言与数字逻辑电路设计[m].西安电子科技大学出版社,2001.

  • 关于EPM7128的供电电平选择

    关于epm7128的供电电平选择人最近要用epm7128s这个型号的cpld来设计电路板, 主要是用它来扩展arm芯片(型号是s3c44b0x)的io口。我的疑问是,对于epm7128s而言,vccint是内核逻辑与输入缓冲的供电,要求与5.0v电源相连。vccio是输出驱动的供电,根据输出的需要选择接3.3v.html">3.3v或者5.0v。vccint除了给内核逻辑供电外,它还决定了输入缓冲的电平范围?由此vccint接5v时,输入应该可以兼容3.3/5v吧?是否也就是说,vccio实际上只管io口的输出电平范围呢? 我设计的板用 epm7128s来扩展44b0x的io数。所以epm7128s的一部分io引脚用来接到44b0x的数据和地址总线。剩下的io口对外围5v器件驱动控制。 由于44b0x的io是3.3v的, 为了同时兼容44b0x总线和外围5v器件,我理解应该是让vccio接3.3v.html">3.3v吧?那如果要用epm7128s来驱动5v的ttl门,因为它输出是3.3v电平,所以可以直接兼容。但是如果驱动5v的cmos门,那么就应该用上拉电阻拉到+5v处才可以了。但是,文章提到,这

  • EPM7128S的IO脚与74LVT16245连接的问题

    epm7128s.html">epm7128s的io脚与74lvt16245连接的问题在我的电路中,用cpld芯片epm7128s.html">epm7128s来扩展arm44b0的io口,其中epm7128直接与74lvt16245的a port连接,epm7128的vccio用3.3v供电,vccint用5v供电,74lvt16245是3.3v供电,其接口能兼容5v的信号,打算采用cpld的输出来控制74lvt16245的oe, dir脚,同时74lvt16245的a port上全部连接cpld剩余的其它io引脚。现在问题是,没焊上74lvt16245之前,epm7128s.html">epm7128s工作正常。但是焊上74lvt16245之后,epm7128明显有点烫手……不知道这是什么原因造成的?另外,epm7128s.html">epm7128s的datasheet提到 开漏输出选项(open-drain output option)具体应该在哪里可以选用此选项?是在 quartus ii的pin planer里面设置管脚的io standard里设置吗?在io standard里面可以选择

  • 有关EPM7128S的I/O标准,困惑中,请教大家!

    有关epm7128s的i/o标准,困惑中,请教大家!epm7128s的i/o标准?epm7128s的i/o标准支持lvttl电平,为什么我指定该电平时,综合是却出现这种警告啊?困惑中!warning: i/o standard setting for individual pin "d0[1]" not supported for selected device family -- setting i/o standard for pins according to device-wide i/o standard

  • 关于epm7128s的VCCINT与VCCIO的连接方式

    关于epm7128s.html">epm7128s的vccint与vccio的连接方式在max7000的手册上说明:vccint是内核逻辑与输入缓冲的供电,要求与5.0v电源相连。vccio是输出驱动的供电,根据输出的需要选择接3.3v.html">3.3v或者5.0v。vccint除了给内核逻辑供电外,它还决定了输入缓冲的电平范围?由此vccint接5v时,输入应该可以兼容3.3/5v吧?是否也就是说,vccio实际上只管io口的输出电平范围呢? 我在有的文章上看到就是说,io 的输出电压范围是:0v~ vccio。我设计的板用 epm7128s来扩展44b0x的io数。所以epm7128s的一部分io引脚用来接到44b0x的数据和地址总线。剩下的io口对外围5v器件驱动控制。 由于44b0x的io是3.3v的, 为了同时兼容44b0x总线和外围5v器件,是否应该让vccio接3.3v.html">3.3v呢?

  • 听说EPM7128S停产了,不知道是不是真的。

    听说epm7128s停产了,不知道是不是真的。现在正用着epm7128s,昨天采购说又涨价了,打电话问供应商说该芯片停产了当然涨价了,晕,第一次听说该芯片停产了

epm7128s替代型号

EPM7128QC160 EPM7128LC84-6 EPM7128LC84-15 EPM7128LC84-10 EPM7128EQC160 EPM7128ELC84-7 EPM7128ELC84-15 EPM7128AETI100-7 EPM7128AETC100-10 EPM7128AETC100

EPM7128SL84-15 EPM7128SLC EPM7128SLC-15 EPM7128SLC84 EPM7128SLC84-10 EPM7128SLC84-15 EPM7128SLC84-5 EPM7128SLC84-6 EPM7128SLC84-7 EPM7128SLI84-10

相关搜索:
epm7128s相关热门型号
ES1G-13-F EL3041 EL357N(B)(TA)-G EPM7128ELC84-20 E28F128J3A150 ECLAMP2374P.TCT ESD5Z6.0T1G ESDA6V8AV5-5/TR EL1503ACM EM8623L-LF

快速导航


发布求购

我要上传PDF

* 型号
*PDF文件
*厂商
描述
验证
按住滑块,拖拽到最右边
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈
返回顶部

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!