登录 免费注册 首页 | 行业黑名单 | 帮助
维库电子市场网
技术交流 | 电路欣赏 | 工控天地 | 数字广电 | 通信技术 | 电源技术 | 测控之家 | EMC技术 | ARM技术 | EDA技术 | PCB技术 | 嵌入式系统
驱动编程 | 集成电路 | 器件替换 | 模拟技术 | 新手园地 | 单 片 机 | DSP技术 | MCU技术 | IC 设计 | IC 产业 | CAN-bus/DeviceNe

EDA技术

  主 题 作 者 回 复 时 间
program时不成功,提示Unrecognized device or socket is empty volunteer 7 2024/2/26 5:59:00
这个简单的逻辑电路怎么用vhdl描述 xyt大虾米 16 2024/2/26 5:42:27
新手请教VHDL sdnd2000 3 2024/2/26 5:37:34
新人报道,发个实用贴-如何寻找EDA软件 ivans1998 6 2024/2/26 5:33:10
求帮 wjh1978 2 2024/2/26 4:58:18
用CPLD怎么实现倍频电路?如:128倍. hudengjie 9 2024/2/26 4:07:16
一简单的程序出错,帮忙看看 chengj 6 2024/2/26 4:02:00
有关EPM7128S的I/O标准,困惑中,请教大家! xhjiang 5 2024/2/26 2:17:58
求助:一个简单的计数器程序,涉及单片机和fpga接口时序 珊瑚虫 2 2024/2/26 2:07:16
想学FPGA,请各位老手指给小弟一个方向…… hailisky 5 2024/2/26 1:22:24
请教vhdl数组的定义和赋值问题!拜托了! lanmanck 2 2024/2/26 1:20:45
请问什么是干结点啊?急!!!!在线等!! tywyangjie 6 2024/2/26 1:20:40
Quartus 5.0中Verilog HDL的预编译命令的使用 jaky202 2 2024/2/26 0:57:57
波形仿真出错 half_moon 6 2024/2/26 0:41:27
vhdl顶层文件建立 mqbelieve 5 2024/2/25 22:06:34
用protel99SE打印各种元件位置图怎么设置呀 huadelj 5 2024/2/25 21:39:49
maxplas2 编程program时显示:programming hardware is busy xubanghui 2 2024/2/25 20:43:17
各位请教一下:从串模式加载和在线JTAG加载有那些区别? 梦想2000 5 2024/2/25 20:05:45
Cyclone2的Embedded Memory在ModelSim SE 5.5上仿真有错,求救! slim_chun 2 2024/2/25 19:52:17
元件封装 boiya 10 2024/2/25 18:44:30
IC设计流程和EDA工具 rickyice 4 2024/2/23 7:21:52
cadence 中使用Capture进行电路仿真问题 寄存器 3 2024/2/23 7:13:32
这么简单的程序Quartus Time仿真为何会有毛刺,哪? nj21ic 4 2024/2/23 3:35:19
请大家看看这两段程序实现的个功能是不是一样的?谢谢~ conan007 4 2024/2/23 0:59:17
求助!用QUARTUS4.2编译EP2C8Q208时不能产生*.SOF和*POF文件 congcongy 2 2024/2/22 10:52:08
初学fpga的教训,供大家批判 JohnSharc 21 2024/2/22 7:44:51
做一个可逆计数器出了问题,求助各位帮忙看下。 ylong 3 2024/2/21 20:00:53
用VHDL写的状态机在MAX2中编译通不过 bg4ajb 7 2024/2/21 15:30:51
再次请教循环移位的问题(verilog) xinyancode 2 2024/2/21 1:01:23
怎样在quartus中设置让用来延时的单输入或门不被编译器忽略 zhulin 7 2024/2/21 0:40:46
 
13/80页 30条/页 共2394条 上十页 [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] 下十页  


Copyright © 1998-2006 www.dzsc.com 浙ICP证030469号