当前位置:维库电子市场网>IC>hct245 更新时间:2024-04-24 08:48:11

hct245供应商优质现货

更多>
  • 供应商
  • 产品型号
  • 服务标识
  • 数量
  • 厂商
  • 封装/批号
  • 说明
  • 询价

hct245PDF下载地址

hct245价格行情

更多>

历史最低报价:¥0.7300 历史最高报价:¥7.0000 历史平均报价:¥3.5766

hct245中文资料

  • 方向口仿真问题!

    程序中也要?吴明诗?library ieee; use ieee.std_logic_1164.all;entity hct245 is port(a, b : inout std_ulogic_vector(7 downto 0); dir, gbar : in std_logic);end hct245;architecture ver1 of hct245 isbegin a <= b when (gbar = '0') and (dir = '0') else (others => 'z'); b <= a when (gbar = '0') and (dir = '1') else (others => 'z');end ver1;这是个inout的例子程序,但是与我写的那个程序有点不一样。因为这里a b都是inout,而我的程序中只有a是inout,b c都是单向的。我的程序很简单,如果可能希望你帮我改一下 谢谢兄弟!

  • lpc214X arm如何和5伏的器件接口

    串个电阻或者用hct245即可

hct245替代型号

HCT240 HCT175 HCT157 HCT138 HCT08A HCT02 HCT00 HCS515 HCS410 HCS301

HCT4017 HCT4046 HCTL-1100 HCTL-2000 HCTL-2001-A00 HCTL-2016 HCTL-2017-A00 hctl2020 HCTL-2020 HCTL-2021

相关搜索:
hct245相关热门型号
HCPL-2531-000E H5PS1G63JFR-Y5C HCNR201-500E HVU17TRF HSMP-3894-TR1G HUE.20 HY27UF084G2B-TPCB HCF4053BE HY5PS561621AFP-25 HAT1020R-EL-E

快速导航


发布求购

我要上传PDF

* 型号
*PDF文件
*厂商
描述
验证
按住滑块,拖拽到最右边
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈
返回顶部

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!