当前位置:维库电子市场网>IC>tlc7528 更新时间:2024-04-27 14:00:55

tlc7528供应商优质现货

更多>
  • 供应商
  • 产品型号
  • 服务标识
  • 数量
  • 厂商
  • 封装/批号
  • 说明
  • 询价
  • TLC7528IN

    TLC7528IN
  • 严选现货

    严选现货= 现货+好口碑+品质承诺

    带有此标记的料号:

    1. 表示供应商具有较高市场知名度,口碑良好,缴纳了2万保证金,经维库认证中心严格审查。

    2. 供应商承诺此料号是“现货” ,如果无货或数量严重不足(实际数量不到显示数量一半),投诉成立奖励您500元。

  • 5

  • TI

  • DIP20/06+

  • 全新原装现货热卖

  • TLC7528CN

  • 严选现货

    严选现货= 现货+好口碑+品质承诺

    带有此标记的料号:

    1. 表示供应商具有较高市场知名度,口碑良好,缴纳了2万保证金,经维库认证中心严格审查。

    2. 供应商承诺此料号是“现货” ,如果无货或数量严重不足(实际数量不到显示数量一半),投诉成立奖励您500元。

  • 2000

  • TI

  • PDIP20/2035+5

  • 进口原装现货

tlc7528PDF下载地址(大小:250.910KB)

tlc7528价格行情

更多>

历史最低报价:¥0.0000 历史最高报价:¥0.0000 历史平均报价:¥0.0000

tlc7528中文资料

  • 双8位乘法数模转换器TCL7528原理及应用

    法功能使这种器件成为许多微处理器控制的增益设置和信号控制应用的良好选择。他可工作于电压方式,产生电压输出而不是电流输出。 1芯片简介1.1功能特点 ·易于与微处理器接口; ·片内数据锁存; ·在每个a/d转换范围内具有单调性; ·可与模拟器件ad7528和pmi pm7528互换; ·适合于包括与tms320接口的数字信号处理(dsp)应用的快速控制信号; ·电压方式(voltagemode)工作; ·cmos工艺制造。1.2功能方框图 功能方框图如图1所示。1.3引脚排列 tlc7528的引脚排列如图2所示。1.4时序图tlc7528的时序图如图3所示。2工作原理 tlc7528包含两个相同的8位乘法d/a转换器daca和dacb。每个dac由反相r-2r梯形网络、模拟开关及数据锁存器组成。二进制加权电流在dac输出与agnd之间切换,于是在每一梯形网络分支中保持恒定的电流,与开关状态无关。大多数应用仅需要加上运算放大器和电压基准。所有数据输入均为低情况下daca的简化d/a电路如图4所示。3tlc7528在可编程状态可变滤波器中的应用 tlc7528应用于可编程状态可变

  • 基于DSP组建短波电台无线数据传输网络的系统设计

    频口连接,用来采样音频输出信号和产生音频模拟输入信号,控制电台音频输入/输出转换键控信号ptt;异步串行接口及eprom模块仅在用户端使用,完成与信息录入设备通信及保存用户端的程序代码 ,并在复位时自举加载;pci接口模块仅在接收中心端使用,完成与pc机通信及接收中心端程序的自举加载。模拟接口模块设计系统采用10位并行a/d转换器tlv1571,该芯片的采样率最高可达1.25msps,功耗极低,具有两个软件可配置的控制寄存器,由触发信号控制所有的采样、转换和数据输出。采用双路8位并行d/a转换器tlc7528,该芯片设计成具有单独的片内数据锁存器,vdd=5v时的建立时间为100ns,传输延时为80ns,数据锁存与d/a转换同样由触发信号完全控制。它们与c5402的连接如图2所示。该模块通过地址译码把tlv1571和tlc7528分别映射到i/o空间的0x0002和0x0001,保证在c5402访问数据总线时只有一个芯片处于选通状态。在程序开始时,要对tlv1571的工作方式进行初始化,通过写入控制字0x00c0和0x0100,把它配置成为使用内部时钟、软件启动采样、二进制输出的模式。c5402将串

  • 基于FPGA的任意波形发生器设计与研究

    加器输出的相位值作为地址,寻找存储在rom 中的波形函数的幅度量化值, 完成相位到幅值的转换, 输出相对应的序列。 2 硬件电路设计 2. 1 设计方案 基于fpga 实现dds 功能, 通过单片机实现控制。此方案的核心在于fpga 的设计实现逻辑功能,通过对存储器查表后输出信号, 由相连接的数模转换器转换为要求的波形。单片机作为控制器, 易于控制与调试。系统框图如图2 所示。 图2 系统框图 2. 2 d/ a 转换器的使用 本案采用德州仪器的tlc7528。它是双路、8 位d/ a 转换器, 本案设计为: a 路的数字量控制b 路的电压输出, b 路则控制输出的幅度。电路图可以显示,va1( vob1) 处输入的a( b) 路电压范围为- 5~ 0 v,va2( vob2) 处为a( b) 路的电压为- 5~ 5 v。da 电路连接图如图3 所示。 图3 tlc7528 电路连接图 其中因为转换方法的问题, 产生的零点误差可以通过调整放大器的零点来校准; 而因为温度变化产生的温漂误差在技术上就比较难消除。关于单极性dac 的增益误差可

  • 连续相位QAM调制原理

    1536个clb(可配置逻辑单元)。fpga内 部功能由vhdl语言进行描述,vhdl语言代码己通过xilinx ise软件的仿真、综合和布局布线。根据综合结果报告,调制器占用1953个slice(占63%),使用了2262个slice触发器(占36%)和 353*输入lut表(占58%)。整个fpga的速度可达到55.87mhz,满足一般高速数据的传输要求。 调制器实验利用伪随机码发生器产生信息数据,设置双可预置值计数器的两个预置值之比为1:3,这样过渡区宽度占每个码元宽度的l/4,选用tlc7528型8位d/a转换器进行数模转换,经由tl084放大器构成的低通滤波器后输出已调信号。 用tektronix2221a型数字存储式示波器观测实验结果,图10(b)是输出的连续相位16qam调制信号波形,为了便于比较,图10(a)中给 出普通16qam调制在相同条件下的输出波形,从图10中可以看出两种调制信号仅在相邻码元之间的过渡区有所不同,普通16qam调制信号存在的尖锐跳变 在连续相位16qam中则相对平缓得多,而在过渡区结束后,进入每一个码元的主要部分时两种调制的波形是一致的。

  • tlc7528功能方框电路图

    tlc7528功能方框电路图


      图:tlc7528功能方框电路图

      


    ...

  • TLC7528IDWR的技术参数

    产品型号:TLC7528IDWR
    工作电压(V):4.75~15.75
    位数:8
    建立时间(uS):0.100
    输出类型:电流
    通道数:2
    DNL最大值(±1LSB):0.500
    INL最大值(±1LSB):0.500
    接口方式:并行
    基准:外部
    功耗(mW):7.500
    封装/温度(℃):2...

  • TLC7528CN的技术参数

    产品型号:TLC7528CN
    工作电压(V):5~15
    位数:8
    建立时间(uS):0.100
    输出类型:电流
    通道数:2
    DNL最大值(±1LSB):0.500
    INL最大值(±1LSB):0.500
    接口方式:并行
    基准:外部
    功耗(mW):7.500
    封装/温度(℃):20PDIP/0~...

  • TLC7528CDWR的技术参数

    产品型号:TLC7528CDWR
    工作电压(V):4.75~15.75
    位数:8
    建立时间(uS):0.100
    输出类型:电流
    通道数:2
    DNL最大值(±1LSB):0.500
    INL最大值(±1LSB):0.500
    接口方式:并行
    基准:外部
    功耗(mW):7.500
    封装/温度(℃):2...

  • SPCE061A在简易电子书中的应用

    配置逻辑模块(clb) ,输人输出模块(iob)逻辑资源和布线资源外,还具有以下特点: a) 内部时钟速度可达420mhz,且具有丰富的全局时钟资源和数字时钟管理模块(dcm),可以获得较小的时钟抖动。 b)具有为算术运算而特别设计的硬件结构,如18 bit×18 bit嵌入式硬件乘法器、快速进位链等。 c) 包含丰富的模块化ram。 这些特点简化了逻辑设计,缩短了设计时间,为实现高速、实时dsp处理提供了极大的便利。 (4)示波器显示部分设计 x-y轴信号输出采用速度为10mhz的tlc7528双路d/a转换器,由凌阳单片机spce061a控制,电路图如图2.4: 图 2.4 示波器控制电路 考虑到输出的谱线较为陡峭的情况,为避免因为运放摆率过小,显示时造成拖尾现象,选用压摆率为12v/us的运放lf356。 (5)供电设计 电源系统是影响系统稳定性的重要因素。由于系统上的器件要求供电有1.5v,1.8v,3.3v, 5v, 12v等多种电压,电源系统采用了多路独立供电的方式,1.5v/1.8v/3.3v都由专用电源芯片tps54613独立供电, 5v由7805/7905提

  • 请教TLC7528问题

    请教tlc7528问题请问用过tlc7528这款da的兄弟讲解一下,tlc7528的速度可以达到多少,我要产生1us脉宽幅度可调的脉冲 ,用它能实现吗?还有就是用单极性输出,是不是要用负电压做参考呀?

tlc7528替代型号

TLC7524CN TLC7524 TLC7226CN TLC7226CDW TLC7226 TLC7225IDW TLC7225CDW TLC7225 TLC7135CN TLC7135CDWR

TLC7528CDWR TLC7528CN TLC7528E TLC7528I TLC7528IDWR TLC7628CN TLC7660 TLC7703ID TLC7703IP TLC7705

相关搜索:
tlc7528相关热门型号
TVLST2304AD0 TL7705AIDR TC74LCX374FT TPS43000PWR TD62004AFG TC7SH14FE TPA6111A2DR TPS54231DR TC7WU04F TPS61071DDCR

快速导航


发布求购
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈
返回顶部

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!