当前位置:维库电子市场网>IC>case 更新时间:2024-04-27 19:16:51

case供应商优质现货

更多>
  • 供应商
  • 产品型号
  • 服务标识
  • 数量
  • 厂商
  • 封装/批号
  • 说明
  • 询价

casePDF下载地址

case价格行情

更多>

历史最低报价:¥0.0000 历史最高报价:¥0.0000 历史平均报价:¥0.0000

case中文资料

  • 嵌入式系统多语言文本解决方案

    以得到该文本,成功返回有效指针 guistring * gettextresource(long lindex, long llanguage) { guistring * ptxt; apptext_fileheader fh; apptext_mapping map; string strfile; word * pbuf; int fd, ioff; //确定要读取的配置文件 switch (llanguage) { case txt_lang_english: strfile = txt_file_english; break; case txt_lang_chinasim: strfile = txt_file_chinasim; break; case txt_lang_chinatra: strfile = txt_file_chinatra; break; case txt_lang_korean: strfile = txt_file_korea

  • 利用VB实现PC机与多单片机通讯

    命令和接收数据过程,在通讯程序中设置两个timer控件分别控制发送单片机命令和接收单片机数据。为了实现一台pc机和多单片机之间的通讯,可在一个timer控件的过程中,在发送命令之前设定命令参数和要接收数据的单片机号,然后发送单片机命令;在另一个timer控件的过程中,根据发送前设定的单片机号,接收不同单片机的数据。 timer控件控制程序如下: '发送命令主控程序 privata sub timersend_timer() timersend.enabled=false select case command case 1] call发送单片机命令过程(任务1) timerreceive.enabled=false'启动自动接收 case2 call发送单片机命令过程(任务2) mscomm1.rthreshold=0'关闭自动接收 timerreceive.interval=500 timerreceive.enabled=true'启动定时器接收机号=1 case 3 call发送单片机命令过程(任务3) mscomm1.rthreshold=0'关闭自动接

  • Linux USB gadget设备驱动解析(4)--编写一个gadget驱动

    ep, req); req = null; } } return req; } static void source_sink_complete(struct usb_ep *ep, struct usb_request *req)//请求完成函数 { struct zero_dev *dev = ep->driver_data; int status = req->status; switch (status) { case 0: /* normal completion */ if (ep == dev->out_ep) { memcpy(dev->data, req->buf, req-> actual);//返回数据拷贝到req->buf中, //dev->data

  • 循线算法原理与实践在机器人上的应用

    false return templinestate; } 2 数据的简单加工——第一个循线程序 到目前为止,我们已经把ad的值的数组转变为了一个表示白线位置的二进制位的数组——我们不妨直接把他用一个字节表示哈。那么,这个字节的状态就表示了当前白线的位置信息。再假设,我们已经写好了几个函数用来分别控制小车的左右运动。那么我们就可以通过以下的简单方式来实现循线了。 //用字节的高三位表示三个管子检测到的白线信息。 switch (lineinforbyte) { case 0b11100000: //全部在白线上 motor_left_gofront(fullspeed); motor_right_gofront(fullspeed); break; case 0b01100000: //明显车子向左偏了哈 motor_left_gofront(fullspeed); motor_right_gofront(normalspeed); break; case 0b00100000: motor_

  • 简单的串口测试程序

    t fd,int databits,int stopbits,int parity) { struct termios options; if ( tcgetattr( fd,&options) != 0) { perror("setupserial 1"); return(false); } options.c_cflag &= ~csize; switch (databits) /*设置数据位数*/ { case 7: options.c_cflag |= cs7; break; case 8: options.c_cflag |= cs8; break; default: fprintf(stderr,"unsupported data size\n"); return(false); } switch (parity) { case 'n': case 'n': options.c_cflag &= ~par

  • 连接器(Netlink Connector)及其应用

    意义与发送时不一样,iov 用于指定消息的存放位置以及最大可利用的缓存大小,msg.msgname 则表示该调用希望接收的消息的目的地址,msg.msg_iovlen 则指定该调用应当返回的消息数。 cnmsg = (struct cn_msg *)nlmsg_data(nlhdr); procevent = (struct proc_event *)cnmsg->data; switch (procevent->what) { case proc_event_none: printf("process event: acknowledge for turning on process event listeningnnn"); break; case proc_event_fork: printf("process event: forkn"); printf("parent tid:%d, pid:%dnchild tid:%d

  • FlashCom 连接器

    ============; private function dostatus(ncinfo:object):void{ this.target.onstatus(this.index,ncinfo); } //=========================================; private function onstatus(ncindex:number,ncinfo:object):void{ switch(ncinfo.code){ case "netconnection.connect.success": this.removealltimeout(ncindex); this.__connection = this["temp_nc_"+ncindex]; this.__connected = true; this.dispatchevent({type:"success"}); break; case "netconnection.connect.failed":

  • USB接口的高速数据采集卡的设计与实现

    ed_int、input_endpoint0_int、output_endpoint0_int这三个中断主要用于与主机建立连接、进行控制传输或中断传输;input_endpoint1_int、output_endpoint1_int这两个中断主要在批量传输时使用。在固件中分别执行不同的中断程序来实现usb的数据传输。 void ex0_int(void) interrupt 0 //外部中断0 { ea=disable; //关中断 switch (bbecint)(//确定中断id case vecint_output_endpoint0: bvecfint=0x00; ep0qutputinterrupthandler(); break; case vecint_input_endpoint0: bbecint=0x00; ep0inputinterrupthandler(); break; case vecint_output_endpoint1: bvecint=0x00; ep 1 outputinterrupthandler(); break; c

  • 针对嵌入式SoC应用C编程优化及编译器原理

    不用函数嵌入。在源程序中为了支持某些处理器架构进行的手工的循环体展开会降低程序的可移植性,因为这阻止了编译器自动为其他的处理器架构进行正确的循环体展开和函数嵌入。 14. 避免编写参数数量可变的函数 如果一定要这么做,使用ansi标准方法:stdarg.h.。使用数据表替代if-then-else或者switch分支处理。比如考虑下面代码: typedef enum { blue, green, red, ncolors } color; 替代 switch (c) { case case0: x = 5; break; case case1: x = 10; break; case case2: x = 1; break; } 使用 static int mapping[ncolors] = { 5, 10, 1 }; ... x = mapping[c]; 15. 依靠libc函数库(比如:strcpy、strlen、strcmp、bcopy、bzero、memset和memcpy)。这些函数是经过精心优化的。

  • MAXQ3120电表参考设计的定制功能

    搜索算法找出寄存器地址。因此,表格保持排序状态是非常重要的。如果寄存器表变得无序,结果就无法预料了。 一旦表格被更新,新的寄存器可以通过通信通道进行读写。电表到底如何处理该信息,是下一部分的主要内容。 具有额外功能的读写寄存器还有一种应用情况,即你想让一个写事件触发额外的功能。为了达到这种效果,必须让寄存器管理器向额外任务发送一个消息,或者更新执行额外功能所涉及的ram内容。作为样例说明,可在寄存器管理器中搜索c030,你会找到下面这段代码: switch(register.word){case 0xc030: // meter constant, realaction_value = 0;for(i=4; i>1; i--){action_value *= 100;action_value += (g_commbuffer.message[i] & 0xf) +(g_commbuffer.message[i] >> 4) * 10;}set_e_pulse(action_value); // this will set e_pulsebreak; 这段代码

  • 数字电位器X9241与PIC单片机的接口及程序设计

    c72,晶振为4m):status equ 03h ;pic16c72内部特殊c equ 0 ;功能寄存器及标志位portc equ 07htrisc equ 87hcommand equ 24hid equ 25h ; 0 0 0 0 p1 p0 r1 r0 addr_byte equ 26h; 0 1 0 1 a3 a2 a1 a0data_byte equ 27h ;cm dw d5 d4 d3 d2 d1 d0pulses equ 28h ;dir x d5 d4 d3 d2 d1 d0case equ 29hcount equ 2bhtempp equ 2chclock macro ;时钟nop ;let sda set-upbsf portc,6 ;scl=1nop nopnopbcf status,c ;0送cbtfsc portc,7 ;sda=0?bsf status,c ;no,1送cbcf portc,6 ;scl=0endmsend_bit macrobcf portc, 7 ;0送sdabtfsc tempp, 7 ;tempp.7=0?bsf portc, 7 ;n

  • 采用VHDL硬件语言描述自动售货机的逻辑控制电路

    输入逻辑变量,用两位的矢量state_inputs表示。state_inputs(0)表示投入1元硬币,state_inputs(1)表示投入5角硬币。输入信号为1表示投入硬币,输入信号为0表示未投入硬币。 3)输出信号:给出矿泉水和找零为两个输出变量,用两位的矢量comb_outputs表示。comb_outputs(0)表示输出货物,comb_outputs(1)表示找5角零钱。输出信号为1表示输出货物或找零,输出信号为0表示不输出货物或不找零。 根据图1所示的状态转换图,用vhdl中的case_when结构和if_then_else语句实现控制功能,源程序如下: library ieee; --库和程序包的使用说明 use ieee.std_logic_1164.all; entity sellmachine is --实体定义 port(clk,reset: in std_logic; state_inputs:in std_logic_vector(0 to 1); comb_outp

  • 变压器供电的简单电路介绍

    变压器原理: 变压器的工作原理 变压器利用电磁感应原理,从一个电路向另一个电路传递电能或传输信号的一种电器,输送的电能的多少由用电器的功率决定。 元件bom表: c1 0.39uf 250v capacitor c2 220uf 25v electrolytic capacitor d1 1n4741 11v zener diode (see notes) br1 1 amp 200v bridge rectifier misc line cord, board, wire, case 来源:朦烟

  • 用LED制作的万年历

    mk=0 then do;call time(100); /*mod key process*/ time1=30; if mk=0 then do; k1=k1-1; do while k1=0ffh; k1=7; end; end; end; if sk=0 then do;call time(100); /*set key process*/ time1=30; if sk=0 then do; tem=tem+1; tem=dec(tem); do case k1; do while tem=7;/*week*/ tem=0; end; do while tem=60h;/*scond*/ tem=0; end; do while tem=60h;/*minute*/ tem=0; end; do while tem=24h;/*hour*/ tem=0; end; do while tem=32h;/*date*/ tem=1; end; do while tem=13h;/*month*/ tem=1; en

  • 模块化的防盗系统

    n/o switches, leave out r8, c8 and q2; and fit a link between led 3 and c7. the 24 hour pa/tamper protection is provided by the scr/thyristor. if any of the switches in the n/c loop is opened, r11 will trigger the scr and the bell will ring. in this case the bell has no time limit. once the loop is closed again, the scr may be reset by pressing sw2 and temporarily interrupting the current flow. the basic circuit will be satisfactory in many situations. however, it's much easier to find a fault when

  • [求助]关于8路时钟序列的存储与示波器显示。。(附程序)

    _5620=1; clk_5620=0; acc<<=1 ;data_5620=cy; clk_5620=1; clk_5620=0; acc<<=1 ;data_5620=cy; clk_5620=1; clk_5620=0; acc<<=1 ;data_5620=cy; clk_5620=1; clk_5620=0; load_5620=0; } 其中一路通道 for(i=50;i>0;i--){send_c(i);switch(i) //通道1 {case 1 :send_b(u1[49]);break; case 2 :send_b(u1[38]);break; case 3 :send_b(u1[47]);break; case 4 :send_b(u1[36]);break; case 5 :send_b(u1[45]);break; case 6 :send_b(u1[34]);break; case 7 :send_b(u1[43]);break; case 8 :send_b(u1[32]);break; case 9 :send_b

  • HPL语言DoCase语句块,实战程序

    hpl语言docase语句块,实战程序;-------不带小数点的共阴极数码表-----;入口条件: w(0x00~0x0f);出口条件: w(displaychar_0..displaychar_f)getledchar docase(temp);取显示数据 initcase; bytevar(temph,temp); andbyteval(temp,0x1f); case 0; byteval(temp,displaychar_0); case 1; byteval(temp,displaychar_1); case 2; byteval(temp,displaychar_2); case 3; byteval(temp,displaychar_3); case 4; byteval(temp,displaychar_4); case

  • 请问数码管显示闪烁问题

    to jcsasm :把ad去掉显示没有问题的,但是问题是我要显示的数据就是从ad采集以后处理显示出来的。我还尝试着把显示部分放在中断里面,但是还是有一点闪烁,而且显示一旦放到中断里面,系统程序有时候就执行乱了。请问引起闪烁一般都有什么样的问题?以下是我的显示部分程序,请帮我看一下还应该怎么改,谢谢译码:unsigned char get_code(unsigned char i){ unsigned char p; switch(i){ case 0:p=0x5f; break; case 1:p=0x05; break; case 2:p=0x9b; break; case 3:p=0x8f; break; case 4:p=0xc5; break; case 5:p=0xce; break; case 6:p=0xde; break; case 7:p=0x07; break; case 8:p=0xdf; break; case 9:p=0xcf; break; case 10:p=0x7f; break;//小

  • HPL语言DoCase语句块,测试程序

    hpl语言docase语句块,测试程序;-------------------------------------------------------------------;;case语句使用说明;hpl语言的case语句比任何语言的case语句都更为强大;case语句主要组成(*号为hpl语言特有的,其他语言中没有);1. docase(x);定义case语句块,匹配寄存器x;2. *initcase;case语句块初始化事件处理;3. *startcase;case语句块开始后事件处理(loopcase语句入口);4. case y;case语句匹配值y(匹配语句后不需用exitcase);5. casein(x,y);case语句匹配值[x,y];6. *caseout(x,y);case语句不匹配值[x,y];7. *execcase;case语句匹配事件处理;8. elsecase;case语句不匹配事件处理;9. *nextcase;case语句继续匹配(跳入下句case y);10. loopcase;case语句重新匹配(跳入startcase或首句case y);1

  • 请教

    har k; th1 = -50000/256; tl1 = -50000%256;if (tlcount<20) { tlcont++; }else { tr1=0; tlcount = 0; green = 1; red =1;showflag=1;digbit = 0x01;for (k=0;k<6;k++) wordbuf[k] =8; } }uchar getcode(uchar i) { uchar p; switch (i) { case 0: p=0x3f; break; case 1: p=0x06; break; case 2: p=0x5b; break; case 3: p=0x4f; break; case 4: p=0x66; break; case 5: p=0x6d; break; case 6: p=0x7d; break; case 7: p=0x07; break; case 8: p=0x7f; break; ca

case替代型号

CARSEM CARRY CARR CARP CARO CARLOS CARI CARDIN CARB CARA

CASH CASI CASN CASO CASR CASTOR CAT1021 CAT1023WI-30 CAT1024 CAT1025

相关搜索:
case相关热门型号
CAT24C02WI-GT3A CAT811RTBI-GT3 CLC5632IMX CS5330AKS CMG03 CD4052BM CD4066BCN CAT810RTBI-GT3 CE6200B28M CAT24C256YI-GT3

快速导航


发布求购

我要上传PDF

* 型号
*PDF文件
*厂商
描述
验证
按住滑块,拖拽到最右边
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈
返回顶部

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!