当前位置:维库电子市场网>IC>epm7064 更新时间:2024-04-26 10:27:17

epm7064供应商优质现货

更多>
  • 供应商
  • 产品型号
  • 服务标识
  • 数量
  • 厂商
  • 封装/批号
  • 说明
  • 询价
  • EPM7064SLC44-10

    合格抽查:一个月内
  • 严选现货

    严选现货= 现货+好口碑+品质承诺

    带有此标记的料号:

    1. 表示供应商具有较高市场知名度,口碑良好,缴纳了2万保证金,经维库认证中心严格审查。

    2. 供应商承诺此料号是“现货” ,如果无货或数量严重不足(实际数量不到显示数量一半),投诉成立奖励您500元。

  • -

  • ALTERA

  • PLCC44/10+

  • -

  • EPM7064STC100-7

  • 严选现货

    严选现货= 现货+好口碑+品质承诺

    带有此标记的料号:

    1. 表示供应商具有较高市场知名度,口碑良好,缴纳了2万保证金,经维库认证中心严格审查。

    2. 供应商承诺此料号是“现货” ,如果无货或数量严重不足(实际数量不到显示数量一半),投诉成立奖励您500元。

  • 17

  • ALTERA

  • TQFP100/9843

  • 一定原装房间现货

  • EPM7064AEFC100-10N

  • 严选现货

    严选现货= 现货+好口碑+品质承诺

    带有此标记的料号:

    1. 表示供应商具有较高市场知名度,口碑良好,缴纳了2万保证金,经维库认证中心严格审查。

    2. 供应商承诺此料号是“现货” ,如果无货或数量严重不足(实际数量不到显示数量一半),投诉成立奖励您500元。

  • 2242

  • ALTERA

  • BGA/2101+

  • 全新原装现货库存 询价请加 有其他型号也可咨询

epm7064PDF下载地址

epm7064价格行情

更多>

历史最低报价:¥18.0000 历史最高报价:¥35.0000 历史平均报价:¥26.6000

epm7064中文资料

  • 一种专用高速硬盘存储设备的设计与实现

    复杂的缓存器译码电路,大大简化系统设计。而且,采用专用fifo芯片,整个设备从外部数据接口看来,就是一个写不满的fifo,也大大简化了对设备数据接口的操作。 专用高速硬盘存储设备的框图如图1所示。图1中各方框表示一个基本模块,括号中文字表示具体实现的器件,虚线左侧部分不属于设备模块。 该高速硬盘存储设备设计中向处理器选用了ti公司生产的tms320f206,scsi协议控制器选用了qlogic公司生产的fas368m,dma控制器和其它外围逻辑转换电路选用了altera公司生产的cpld器件epm7064。 tms320c206是ti公司生产的cpld器件epm7064。 tms320c206是ti公司生产的tms320系列单片数字信号处理器中的一种低价格、高性能的定点dsp芯片。该芯片功耗低,处理能力强,指令周期最短为25ns,运算能力达40mips,片内具有32kb的闪烁存储器和4.5kb的ram,是最早使用闪烁存储器的dsp芯片之一。由于闪烁存储器具有比rom灵活、比ram便宜的特点,因此使用tms320f206不仅降低了成本、减小了体积,同时系统升级也比较方便。 fas368m是与

  • CPLD在高速数据采集系统中的应用

    4 cpld构成数据总线控制器 在这个高速数据采集系统中,为了提高数据传输和寻址的速度,在正常采样期间,其数据总线和地址总线并不需要cpu控制,数据存储是由系统硬件自动完成的。但当cpu2监测到输入模拟量的突变时,再经过预定的一段持续采样时间后,就会发出控制信息给地址发生器和数据总线控制器,停止继续存储采样数据和自动产生地址,而由cpu2取得总线的控制权,对处在高速缓冲ram中的故障前后数据进行操作。为避免总线冲突,就需要一块数据总线的控制器处理好系统总线和局部总线的关系。 epm7064可以很好地解决这个问题。在epm7064的内部,用三态门将cpu2的读写控制线、8根数据总线以及ad9225的12根数据线及一根溢出线与控制高速缓冲ram的读写控制线和数据线隔离开来,由cpu2的p1.7作为cpu2或高速a/d控制总线的控制信号线,这样就能方便地实现缓冲ram数据线访问控制权的切换。考虑篇幅,不再详细给出实现程序。地址总线控制逻辑实现方法类似,不再介绍。 5 cpld构成的isa总线接口 在pc系列机中,i/o空间是独立的,共有1kb(地址编号为000h~3ffh)。由于i

  • 基于单片机及CPLD的B超检测工装设计

    号,并提供vga的增益控制信号,增益控制信号可以是锯齿波,幅度值最低应大于0.2v,最大值应不大于2.5v,锯齿波周期为50?s。 硬件电路设计 图1所示为发射、接收工装设计电路中主控部分原理图。其中,发射部分原理图见图2,接收部分原理图见图3。需要注意的是,发射和接收有一部分电路是共用的。这3个电路主要包括用于控制自动检测的微处理器at89s52,用数码管前2位显示探头00或01,即probe a或probe b。数码管后2位显示1~96,即1~96通道。alter公司的cpld(epm7064)用于产生周期20ms、脉宽330ns的2个方向相反、有死区时间的脉冲,用于发射通道的发射波形。工装板用了18个8通道高压开关hv20220,其中6个用于控制双1~24通道数字开关切换电路,其余的12个用于探头96个阵元选1的切换。发射和接收的控制回路基本一样,需要改变的是2个双刀双掷开关s1、s2的拨动方向,接收工装的发射波形是正弦波,由函数发生器芯片max038产生。本设计留有单片机at89s52与上位机的通讯接口,可以通过上位机编程,利用图形界面控制本工装,只需软件编程即可。本文采用上电自

  • 应用于晶体管图示仪的CPLD控制器设计

    换时,需要输入一个8位控制字,这个控制字若由单片机提供将使启动时间延长,难以提高采集速度,所以这里用到一个数据锁存器74ls373来保存控制字。 2.2 存储器和地址发生器 存储器采用两片2114,它是一款4 b×1k静态随机存储器。2114在存取数据时,除了需要外部提供片选信号和读写信号外,还需要提供地址信号。地址信号由地址发生器产生,地址发生器实际上是一个计数器。 2.3 控制器 控制器是数据采集电路的核心,由cpld内部模块实现。这里的cpld选用altera公司的epm7064。epm7064有64个宏单元,1 250个可用门类,36个i/o脚。从可行性方面来说,ram、地址发生器、74ls373和控制器都可以同时做到cpld中,但ram需要100个节,用cpld来实现将占用大量资源,仅一片epm7064就不够用。所以为了节省资源,降低成本,这里采用外接ram2114。74ls373也采用外接方式,主要是考虑端口不够用。因此在cpld内部仅安排了控制器和地址发生器(图3虚线框内)。 3 控制器结构设计 3.1 采集点数的确定及控制器接口要求 晶体管特

  • 基于PCI的激光标刻控制系统研究

    摘 要:介绍一种基于pci总线的激光标刻控制系统设计。系统采用plx公司pci总线控制芯片pci9052作为接口芯片;采用altera公司的cpld控制器件epm7064和ahdl编程语言,设计ip软核,实现局部总线的译码及功能电路的逻辑控制;基于ip核的pwm控制电路控制时钟取自33 mhz的pci总线时钟,分频获得8位控制精度的pwm占空比调节,实现了高精度的固定频率和可变频率的pwm信号输出。配合硬件设计开发了测试软件和激光标刻应用软件,加快了硬件的设计过程和推广应用。 0 引 言 由于激光具有优异的单色性、相干性、方向性,同时具有很高的能量密度,因此广泛应用于材料加工。随着物品打标过程中对防伪、精度、环保等方面的需求日益提高,激光标刻设备,特别是激光飞动标刻设备的应用日益广泛引。在此,主要介绍了激光标刻控制系统软硬件设计,着重分析了基于pci的控制卡电路设计中的关键问题,同时介绍了标刻软件的主要功能。该控制系统具有4路16位d/a输出、3路16位计数器、4路di/do、1路pwm激光功率控制输出功能。系统在应用中性能稳定,功能完备,已应用于工业生产。 1 激光标刻控制卡

  • EPM7064的管脚分配问题

    epm7064的管脚分配问题我在epm7064中要实现一片cd4094(串并转换)的功能。能将input/gclk1、input/gclikrn、input/oe1/、input/oe2/gclk2分别用时钟信号、片选、数据线、转换使能信号端么?如不能,这些信号线用在什么方面?另外的idi、tms、tck、tdo除了能做i/o,它的第二功能有什么用途。我是初学者,在epm7064的datasheet中好像找不到这样的信息啊!请教各位,能够点拨一下,万分感谢!

  • 高手们,在哪里可以申请CPLD的样片的?

    想要一个epm7064自己想做一个开发板想要一个epm7064自己想做一个开发板

  • 请问Altera公司的EPM7064内部ROM的实现代码问题

    请问altera公司的epm7064内部rom的实现代码问题想在epm7064内实现一个16bitx256的rom,不知能否实现,我仿照书上的例程写了一段vhdl的代码,使用quartus ii v6.0 sp1 编译可以通过,但是不知道在这种器件上是否能够正常使用,并且我不知道这段代码如何使用,请各位大侠指教library ieee;use ieee.std_logic_1164.all;package rom_pack is subtype rom_word is std_logic_vector(15 downto 0); subtype rom_range is integer range 0 to 255; type rom_type is array (rom_range) of rom_word; constant rom : rom_type := 共256个 (("0000000000000000"),("0000000000000000"),("0000000000000000), (&qu

  • 招聘熟悉CPLD的人员

    招聘熟悉cpld的人员招聘熟悉cpld的人员能对epm7128,epm7064,epm7032或xc9536,xc9572等可编程逻辑器件,在有电路板和工作环境的情况下,测出内部逻辑方程式。不管你在那里都可以,如有意向请电话联系:13601097888 周先生

  • CPLD的电流

    cpld的电流偶的cpld(epm7064)上电后所有i/o都不接就有40多ma的电流,这正常吗?该如何让不用的i/o设置成高阻态呢?

epm7064替代型号

EPM7032STC44-10 EPM7032SLC44-10 EPM7032SLC44 EPM7032S EPM7032LC44-6 EPM7032LC44-15 EPM7032LC44 EPM7032AETC44-7 EPM7032AELC44-7 EPM7032AE

EPM7064AETC EPM7064ATC100 EPM7064LC EPM7064LC44 EPM7064LC68-15 EPM7064LC84-15 EPM7064S EPM7064SLC44 EPM7064SLC-44-10 EPM7064SLC44-10

相关搜索:
epm7064相关热门型号
EPM3128ATC100-10 EMH9T2R EPM7128SQC100-15 EUP7965-15VIR1 EL1883ISZ ESD3V3XU1US E6327 ECHU1H122JB5 ES1B-13-F EMZ6.8ET2R EL7630IWTZ-T7

快速导航


发布求购

我要上传PDF

* 型号
*PDF文件
*厂商
描述
验证
按住滑块,拖拽到最右边
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈
返回顶部

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!