MII

FPGA芯片SGMII接口前导码小于7个字节55的情况

SGMII接口基本功能测试  SGMII接口(开启自协商)调试分为三个步骤,先测试SGMII最基本功能仿真、再测试SGMII最基本功能自回环上板、直接测试开启自协商功能后上板1、测...

时间:2019-11-25 阅读:973 关键词:FPGA芯片

RGMII接口布线经验

网络设备一定离不开MAC和PHY,有MAC和PHY的地方就有相应的接口,无论看得见或者看不见,它就在那里,不悲不喜。在以太网中,这个接口就是介质无关接口,英文称为Media Inde...

时间:2019-06-24 阅读:2999 关键词:接口

RMII模式以太网PHY芯片DP83848C的应用

摘要:介绍了美国国家半导体公司的PHY芯片DP83848C的功能特性;给出了在RMII(Reduced Medium Independent Interface,精简的介质无关接口)模式下的硬件电路及软件设计,以...

分类:通信与网络 时间:2010-09-25 阅读:5949 关键词:芯片

DP83848 单路10/100 Mb/s以太网收发器精简的介质无关接口 (RMII) 模式

引言根据精简介质无关无关接口RMII规范,美国国家半导体的DP8384810/100Mb/s单端物理层器件结合了低引脚数目的RMII。在10/100Mb/s系统中将DP83848的物理(PHY)层连接到媒体存取控制(MAC)层,RMII提供了引脚数

分类:其它 时间:2010-02-02 阅读:5122 关键词:接口收发器

基于FPGA的以太网MII接口扩展设计与实现

摘???要:本文介绍了基于FPGA、功能经过扩展的以太网MII接口的硬件实现方法。硬件结构上由控制信号模块、分频器、异步FIFO缓冲和4b/5b编解码器4个部分组成。关键词:100M以太网MII;FPGA;奇偶分频器;4b/5b编解码...

分类:通信与网络 时间:2007-10-19 阅读:3367 关键词:FPGA接口

上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!