当前位置:维库电子市场网>IC>cont 更新时间:2024-04-14 11:46:31

cont供应商优质现货

更多>
  • 供应商
  • 产品型号
  • 服务标识
  • 数量
  • 厂商
  • 封装/批号
  • 说明
  • 询价

contPDF下载地址

cont价格行情

更多>

历史最低报价:¥0.0000 历史最高报价:¥0.0000 历史平均报价:¥0.0000

cont中文资料

  • 新型的按键扫描程序

    以下假设你懂c语言,因为纯粹的c语言描述,所以和处理器平台无关,你可以在mcs-51,avr,pic,甚至是arm平台上面测试这个程序性能。当然,我自己也是在多个项目用过,效果非常好的。好了,工程人员的习惯,废话就应该少说,开始吧。 核心算法: unsigned char trg; unsigned char cont; void keyread( void ) { unsigned char readdata = pinb^0xff; // 1 trg = readdata & (readdata ^ cont); // 2 cont = readdata; // 3 } 完了。有没有一种不可思议的感觉?当然,没有想懂之前会那样,想懂之后就会惊叹于这算法的精妙!! 下面是程序解释: trg(triger)代表的是触发,cont(continue)代表的是连续按下。 1:读portb的端口数据,取反,然后送到readdata 临时变量里面

  • 基于NiosⅡ的VGA彩条信号显示的实现

    输出所需的点时钟、复合同步控制信号、复合消隐控制信号、行同步和场同步信号。同时,他完成了从寄存器内读取输出显示命令及彩条颜色值,并根据按键计数判断显示彩条的类型,包括横彩条、竖彩条及网格。其中点时钟、复合同步控制信号、复合消隐控制信号和rgb 数字信号输入给adv7123 ,行同步、场同步和由adv7123 转换输出的rgb 模拟信号输入给vga显示器。另外,还要用硬件描述语言实现对寄存器的读写,以使vga 控制器端口符合avalon 接口规范。 vga 时序信号产生模块包括行点数计数器hcont 、场点数计数器v cont ,行点数计数器是800 进制计数器,场行数计数器是525 进制计数器,由图1 知行同步信号、场同步信号的电平只有2 种,分别在行同步时间和场同步时间为低电平,其余时间均为高电平,故可以忽略行/ 场消隐前肩、后肩状态而只考虑其输出电平的高低。 行计数器h cont 对251175 mhz 的点时钟进行计数,当行计数器h cont 的计数值小于96 时, 行同步信号hsync 输出为低电平;当h cont 的计数值达到96 后,行同步信号hsync 输出为高电平;

  • VIPER28低待机功率开关电源转换器原理与应用

    。 4.2.3 振荡器 viper28的开关频率固定在60khz或115khz,在250hz的速率上利用±4khz(60khz版本)或±8khz(115khz版本)对开关频率进行调制。扩展频谱影响开关频率每个谐波上的分布能量,但总的说来在若干边带上的谐波有相同的能量,只是幅度较小。 4.2.4 电流限制与过电压保护(ovp) viper28中mosfet漏极电流被内部rsense感测并转换为电压输入到pwm比较器的同相输入端,并与引脚fb上的电压进行比较。通过引脚cont,可以调节电流限制设置点。mosfet的最大漏极限制电流是0.8a。 在t1的辅助(即偏置)绕组nb上,连接一个电阻分压器r3/r4。在mosfet关断期间,偏置绕组产生一个跟踪输出的一个电压,并利用u1的cont引脚,来监视变换器输出电压。当引脚cont上的电压超过3v的参考时,通过内部计数器在4个连续的时间中,控制器能够识别过电压故障。当在一个振荡周期中ovp信号不被触发时,计数器则复位,如图5所示。 u1引脚cont上的分压比kovp可表示为: 式中:vovp=3

  • 如何开始command file的编写

    by layer number is 40 at lastext 表 extend[h] 为该命令的选项,为check notchlt 为littleout 为output写dracula command file就像写八股文,照着往上套就是。就写drc command file来讲,主要针对designer rule来写,首先看懂rule后,再去规则一下,主要思路如下:1,定义好所要用到的层2,将要层进行细化,来表达准确的含意3,定义好组件4,给定check rule比如说,要检测出gate与cont的rule1,定义好层...pplus = 2cont = 5poly1 = 12thin = 8...2,细化...and pplus thin pthin;;pplus与thin重合的部分定义为pthin,即p型的thinoxideand nwell pthin pdiff;;pthin与nwell重合的部分就是要来形成pmos的部分...3,定义组件...and poly1 thin gate ;poly1与thin交结的地方,即是gateand pdiff gate

  • Virtuoso中使用tech file产生新的device

    ayer gatepurpose ;w l sdext gateext legalregion)(ptr thin drawing (pplus drawing 0.4) poly1 drawing 1.8 0.6 1.2 0.9 (outside pwell drawing))(ntr thin drawing poly1 drawing 1.8 0.6 1.2 0.9 (inside pwell drawing)));; no sydepletion devices;tfcdefinesymcontactdevice(; (name vialayer viapurpose layer1 purpose1 layer2 purpose2; w l (row column xpitch ypitch xbias ybias) encbylayer1 encbylayer2 legalregion)(m1_p1 cont drawing mt1 drawing poly1 drawing0.4 0.4 (1 1 1.0 1.0 center center) 0.2 0.3 _na_)(m1_p2

  • PAC与PC Control的区别

      作为可以利用最先进的计算机技术的高性能控制系统,PAC与PC Control也有着本质的区别。PAC使用实时操作系统,所有系统硬件肷件功能的控制引擎由应用程序负责,是真正的、实时的、确定性的控制系统。PC Control使用普通...

  • Vision Control公司推出S24系列视觉传感器

    Vision Control公司推出S24系列视觉传感器。该产品的teach-in功能可实现可靠的参数输入,能够进行快速简便的连接。

      S24系列传感器采用PLC级控制,易于集成到自动设备当中,每秒最多可检测20个部件。由于该传感器基于机器视觉算法,因...

  • 应用于NiosII的SOPC中EEPROM Controller Core的设计

          1  引言

          由于FPGA的出现,使得我们不需要承担较大风险和较高的流片费用将小规模...

  • Spectrum Control推出小型双通道中频滤波器

    Spectrum Control子公司Spectrum Microwave新推出隔离开关滤波器组,可提供结构紧凑、双通道可选中频滤波器。该滤波器采用完整的输入和输出隔离器,可在不影响窄带性能的条件下获得所需的回波损耗,使RF工程师及系统设计人员能够动态配...

  • 基于虚拟仪器技术的705D雷达自动检测系统

    电压的16个重要参量进行自动检测的方法和手段。 关键词:虚拟仪器;雷达;自动检测系统 705d radar’s ats based on virtual instruments technique kong dejie (beijing 66476 troop, beijing 100042, china) abstract: the method and means of automatic testing 16 parameter on 705d’s radar ant cont system, shaft horn system, range finding partial system, emission control plank and three +15v’s voltages were introduced in this pa per, in which vi technique was utilized and special testing software was program ed. key words: vi(virtual instrume

  • 变频调速器与节约能源、环境保护

    少建火电厂,少发电,即少排放so2、so、co2及灰尘,减少大气环境污染; (2)牵引变频机车应用,不用燃煤和烧油,减少排放污染; (3)发展城市轨道交通(地铁和磁悬浮列车)和燃料电池汽车,减少co2排放。 (4)提倡采用电动自行车(无刷直流电机、自制式变频调速),停用燃油助动车等。 4.变频调速与制造业生产: 4.1变频调速可提高产量 交流电动机设计在气隙磁通近似不变的条件下,电机允许超速5-10%长期运行(20%短时试验)。例如,某些国家电机可以在50/60hz下长期运行(当然应保证v/f=cont),只要电机轴承能够承受,提高5-10%转速是可行的。我公司某化纤厂结合变频调速改造,适当提高变频器的输出频率,已带来可观的增产效果。 (2)变频调速可提高产品质量 变频调速具有调速范围广、调速精度高、动态响应好等优点,对于化纤纺丝机、纺织印染联合机、造纸机和注塑机等多单元同步传动或比例同步(牵伸)传动非常适用,既有之前直流机传动的性能,又有交流机坚固、可靠、维护简单的特点,使得产品的质量有较大的提升。自动化程度提高,减轻工人劳动强度。 (3)变频调速与某些特殊加工设备 由于变频技术进步,变频调

  • EM78P447S单片机及其在直流电机红外遥控系统中的应用

    数器r2(pc)、状态寄存器r3(status)、ram选择寄存器r4(rsr)、i/o口寄存器r5(port5)、r6(port6)、r7(port7)等8个寄存器的地址按顺序分别为00h-07h,而中断状态寄存器r3f(1sr)的地址为3fh。 在em78p447s的148个通用寄存器(ram)中,包括有地址为08h-1fh的寄存器和4个地址为20h-3eh的寄存器组(4个页面地址由r4的位6、位7来决定)。 另外,em78p447s中还有7个特殊功能寄存器。其中包括累加器a、控制寄存器cont、输入/输出控制寄存器ioc5、ioc6、ioc7(分别控制port5,port6,port7的输入/输出模式)、wdt控制寄存器ioce和中断屏蔽寄存器iocf。 2.3 em78p447s的指令简介 em78p447s单片机共有45个单字、58条指令。这58条指令包括19条逻辑指令、11条算术运算指令、4条位元指令、4条转移指令、10条控制指令和10条跳跃指令。表1给出了这些指令字按功能的分类。 em78p447s单片机的指令主要有以下几个特色: ●除改变程序计数器的指令需要两个指令

  • 基于MPC860T的嵌入式通信服务器的设计与实现

    通信服务器不但可以将低速率的串行设备接入互联网,也可以将具有较高速率、对实时性有较高要求的串行设备接入互联网。 2.串口通信服务器的体系结构 在实际应用中,各种监测、控制设备多以串行通信的方式的和外界通信。而串行设备千差万别,特别是在数据的传输速率和数据格式方面有很大的不同:既有利用uart(universal asynchronous receiver transmitter,通用异步收发)协议传输的低速率数据,又有利用hdlc(high-level data link cont rol,高级数据链路控制)等协议传输的高速率数据;对数据传输实时性的要求也有很大区别:既有非实时性的串行数据传输,也有对实时性要求比较严格的串行数据,如音、视频数据,高速设备的实时监控数据等。如何有效的将各种串行设备合理的接入ip网络,以实现基于互联网的分布式远程监控呢?论文根据这一需求,提出了一种如图1所示的串口通信服务器的体系结构,该串口通信服务器能够较好的将各种串行设备接入ip网络,并且在实时性方面有比较突出的表现:能够将各种高速、实时性的音视频数据快速接入ip网络,以实现基于ip网络的

  • Win32环境下一种通用控制软件的实现方法

    产生,中断由脉冲设备或者定时器产生,软件缓冲区与硬件缓冲区使用临界区对象同步,硬件传感器读写完成后发送用户消息给前台窗口,这样,控制软件中访问硬件的代码段同其他代码段完全独立,特别地,通讯协议等复杂的问题在此软件架构下仅以一条控制函数形式出现。无论控制对象由多少种传感器搭建,无论设备多少,监控软件的架构是一致的,复杂的控制问题就转化为对某类流设备的读写问题。 关键词:win32编程;传感器;事件;临界区;用户消息;流对象 implementation of & universal control software in win32 circumstance cai wenzhai (the 39th institute,electronics science & technology group corp oration of china,xi′an,710065,china) abstract:in this paper,an universal control system software method is introduced,the method a

  • MAX619新型电源电路的应用

    图7-25是tcm850/851/852采用反馈方法进行控制的电路,外接分压电阻r1和r2,输出电压vo=-1.28(1+r2/r1)。r2的选用范围为100~400千欧,确定后,可根据输出电压vo计算出r1. 图7-26是tcm853采用外加控制电压来控制输出电压的电路,外加控制电压vc为0~10v,电阻r1和r2的中点连接cont端,输出电压vo=-1.28vc(r2/r3)。 来源:阴雨

  • 外加电压控制输出电压电路图

    tcm853是外加电压来控制输出电压,在输出电压端与输入控制电压也连接两个电阻r1,r2,其中间点连接cont端,如图7-88所示。其输出电压: vout=vc(-1.28)(r2/r1) 式中vc为外加控制电压(0~10v),r2的选择要求于前相同。 使用时应注意以下几点: 1 电容器的选择要求,为保证负电压输出特性要求,c1~c4要求采用等效串联小的片状坦电容或陶瓷电容。 2 印制电路布线要求:为保证低的输出噪声,在设计印制电路板时药尽可能将各元件与器件靠拢,走线尽可能短捷,可减少分布电容,电感的影响;地线应尽量的粗,以减小地电阻。 来源:bill

  • JTAG ICE 防真总是出现如下故障指示!

    ns the higher end byte of an integer void init(void);uchar erase_all_29f040(void);uchar erase_sector_29f040(uchar sector);uchar write_byte_29f040(uchar page,uint addr,uchar con);void err(void);void right(void);void delay(void); uchar flash_page,cont,cont_bak,status; uint ii; uchar *p;void main(void){ init(); erase_all_29f040(); for (flash_page = 0; flash_page < 8; flash_page ++) { cont = flash_page; erase_sector_29f040(cont); } for (flash_page = 0; flash_pag

  • sos怎么编延时程序?

    sos怎么编延时程序?本人想用vhdl编延时时序,使用的是maxplus2程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity textshixu0 is port(clk,clr: in std_logic; signal1,signal2:in std_logic; cont,dcf1,dcf2: out std_logic);end textshixu0;architecture textshixu0_arc of textshixu0 isbegin process(clk,clr,signal1,signal2) begin if clr= '1' then cont<='0';dcf1<='0';dcf2<='0'; else if((clk'event and clk= '1') and signal1='1'

  • PIC单片机为何不能像PC一样同时执行多个任务。

    sc ra ,a2 //检测起始位goto rcvr //未检测到 movlw 2 //接收的数据位数movwf r_count //r_count=2r_ next call delay //调用延时程序bcf status, crrf rcvregbtfsc ra,a2 //ra2=0则跳转bsf rcvreg,msbcall delaydecfsz r_countgoto r_next //接收数据未完成,继续接收returnsub_ comp movlw 6amovwf cont //movf cont,w //将cont送入w,w=6axorwf num_addr, 1 //num_addr=6b,w与num_addr异或后送入num_addr,结果为01movlw 1 ;addwf num_addr,1 decfsz num_addr,1goto exitnopovernopreturnsub_ trans movlw 3 //发送的数据位数movwf t_count // t_ count=3movlw 2amovwf sen_code // sen _ c

  • 电子盲求助啊,希望大家能够帮帮偶。

    >g.bia>b.bia>r.drv>g.drv>b.drv>c.b/w我想我就是在这里头把颜色调坏的。工厂调试项adjust. item>h.phase>nt.h.phase>h.blk.left.>h.blk.right>v.size>v.line>v.posi>v.sc>nt.v.size>nt.v.line>nt.v.sc>rf.agc.>volume.out>sub cont>sub color>sub time>osd cont>osd h.posi>osd v.posi可能是在这里头把图像位置搞错的。工厂设置项setup item>blk.srt.def>afc.gain>v.sepup>cd.mode>gray mod.>b.gam def>rg.gam def>bright abl.th>emg.abl.def>brt.abl.bef>mid.stp.def>

  • 转载:GDB调试器使用手册(九)

    断点都有\"ignore count\",缺省是零。如果\"ignore count\"是正的那么你的程序在 运行过断点处\"count\"次后被暂停。 `ignore bnum count\" 设置第bnum号断点的\"ignore count\"为\"count\"。 如果要让断点在下次执行到时就暂停程序,那么把\"count\"设为0. 当你使用\"continue\"命令来继续你程序的执行时,你可以直接把\"ignore count\" 作为\"continue\"的参数使用。你只要直接在\"continue\"命令后直接跟要\"ignore\"的 次数就行。 如果一个断点同时有一个ignore count和一个条件时,条件不被检查。只有当 \"ignore count\"为零时gdb才开始检查条件的真假。 另外你可以用\"co

我要上传PDF

* 型号
*PDF文件
*厂商
描述
验证
按住滑块,拖拽到最右边
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!