当前位置:维库电子市场网>IC>output 更新时间:2024-04-09 08:17:42

output供应商优质现货

更多>
  • 供应商
  • 产品型号
  • 服务标识
  • 数量
  • 厂商
  • 封装/批号
  • 说明
  • 询价

outputPDF下载地址

output价格行情

更多>

历史最低报价:¥0.0000 历史最高报价:¥0.0000 历史平均报价:¥0.0000

output中文资料

  • 将MAX6954和MAX6955的键盘扫描扩展至32键(二极管采用低成本、共阳极的BAW56/SOT23封装)

    max6954/max6955是4线或2线串行接口的led驱动器,可以控制7段、14段或16段led或一个16x8的led矩阵。驱动器还包括5个i/o扩展(gpio)端口,以及用这些端口自动扫描32个按键、消除按键抖动的所有逻辑控制。另外一个功能选项是通过一个中断引脚通知系统处理器消除键抖。本文介绍的扩展按键扫描方案(从32键扩展到80或更多个按键)需要增添额外的二极管。 表1. max6954/max6955的标准32键连接 p0 p1 p2 p3 led output o0 sw a1 sw b1 sw c1 sw d1 led output o1 sw a2 sw b2 sw c2 sw d2 led output o2 sw a3 sw b3 sw c3 sw d3 led output o3 sw a4 sw b4 sw c4 sw d4 led output o4 sw a5 sw b5 sw c5 sw d5 led output o5 sw a6 sw b6 sw c6

  • 手机电源管理需要专门的IC

    ple linear controllers with only basic protection and control circuitry to switching dc/dc converters with integrated switches and features to meet user demands, such as reduced charge time. early linear charger ics had lower efficiency, computed as output voltage over input voltage, and therefore either their input voltage or their charging current was limited by the power dissipation capabilities of the package. recently, semiconductor manufacturers have been developing not only processes with low

  • 500WD类汽车电子超低音放大器的设计考虑

    design considerations for 500w class d automotive subwoofer amplifiersclass d designs promise higher output power within a given automotive electronics system volume. but converting to a class d from a class ab amp is challenging because the modes of operation are significantly different and circuit protection schemes must also be adapted for the different topologies.by johan strydom and jun honda, international rectifier in audio applications there is a trend towards class d audio amplifiers as rep

  • NS LMZ14203H输入42V输出3A电源的实现

    simple switcher? power module with 42v maximum input the lmz14203h simple switcher power module is an easy-to-use step-down dc-dc solution capable of driving up to 3a load with exceptional power conversion efficiency, line and load regulation, and output accuracy. the lmz14203h is available in an innovative package that enhances thermal performance and allows for hand or machine soldering. the lmz14203h can accept an input voltage rail between 6v and 42v and deliver an adjustable and highly accura

  • 介绍利用C++程序获取网卡的有关程序

    info=null; unsigned long len=0; cstring macaddress; cstring description; cstring type; cstring subnet; cstring ipaddress; cstring gateway; cstring primarywinsserver; cstring dhcp; void getinfo(); void parsedata(); void output(cstring str); void main() { cout《"------------------------网卡信息检测---------------------n"; getinfo(); } void getinfo() { if (pinfo!=null) delete (pinfo); unsigned long nerror; nerror = getadaptersinfo(pinfo,&len); //1??üoˉê

  • 输出禁止(Output Disable)操作

      由于GE有效,所以芯片本身处于使能状态,但是根据OE,输出缓冲器将处于禁止状态。因为只要稳定地址总线,使CE有效,就可以针对ROM内部的存储器单元进行访问,所以在输出禁止模式下,首先进行内部操作,然后使OE有效,...

  • 德州仪器公司LED驱动产品概况

    has an individually-adjustable, 4096-step, pulse width modulation (pwm) grayscale (gs) brightness control and 128 step constant-current dot correction (dc). the dot correction adjusts brightness deviation between channels and other led drivers. the output channels are grouped into three groups of eight channels. each channel group has a 256-step global brightness control (bc) function and an individual grayscale clock input. gs, dc, and bc data are accessible via a serial interface port. dc and bc

  • 简明英汉电子术语词典(二:H~Q)

    r 铁心线圈/变压器 缠绕在磁心上的线圈/变压器以提高它的电感量。音频铁心线圈或变压器的铁心是由互相绝缘的硅钢片叠成。射频线圈或者射频变压器是用粉未铁心。这种铁心是用粉未状的铁粒与粘合剂的混合物做成的,粘合剂把铁颗粒分开。 isc max 最大短路电流 在限定的最大操作电压下,测试polyswitch正温度系数热敏电阻,所得之最大短路电流。 isdn 综合服务数字网络-一种ccitt网络标准。它提供端对端、同时处理在同一链路上流通的数字化的声音和数据。 isolated output 隔离输出 参阅“浮动输出”。 isolation 绝缘材料 用绝缘材料在电气上把转换器的输入与输出分开。在正常的情况下,它取决于变压器的特性及组件的间离。绝缘材料的指针是用电阻数值(riso,单位为兆欧)及电容数值(ciso,单位为pf)表示。 isolation capacitance 绝缘电容 参阅“绝缘材料”。 isolated resistance 绝缘电阻 参阅“绝缘材料”。 isolation transformer 隔离变压器 变比等于一比一的变

  • 汽车传感器模拟测试仪在汽车故障中的应用

    游车状态下可以看到节气门在怠速电机驱动下进行回馈调节,开空调时怠速提升正常。由此判断怠速控制系统正常。那么故障是不是由错误的空气流量信号引起的呢?于是用传感器模拟测试仪add91从流量计信号输出端将怠速时的模拟电压值输入计算机,当输入电压为1.3v左右时,怠速游车现象消失。重新启动发动机,不再出现怠速游车现象。更换空气流量计后,故障排除。 操作方法 1.根据原厂维修手册,获得需要模拟的传感器的标准数值。 2.关闭点火开关,断开传感器的电子连接接头。黑色表笔插到仪器的“output—”的插孔,另一端搭铁(使用鳄鱼夹),红色表笔插到“+output”插孔,另一端与传感器的输入信号线连接(可以使用万用测试线),如图2所示。 3.旋转功能选择按钮,选择信号模拟功能“output”。按“hz/duty”选择键,进入占空比调整功能,旋转占空比调整旋钮,使占空比为0000,同时频率自动调整到00000。 4.按“select”选择键,进入电压调整模式,将电压值调整到维修手册规定的标准数据。 提示:可以使用解码器数据流的功能,观察传感器的信号变化。

  • Altium推出最新版一体化电子产品设计解决方案

    境。在 design insight 模式下开发的特性能跨多个文档类型与设计编辑器工作,并能在整个环境中提供高效的解决方案,以便跨多个项目与设计领域解决设计复杂性不断提高的管理难题。 最新的设计数据发布特性进一步完善设计发布管理 在电子产品的整个寿命期间,需要以多种形式发布多种不同的文件,其中包括制造文件、各类设计文件、文档所需的文件、规范文件、采购材料单,以及各种其他的数据。如果不做好管理工作,那么收集上述信息的工作量将非常大,而且会带来问题。 altium 显著简化了通过 output job 编辑器生成正确输出的工作,可通过编辑器提供的统一界面定义所有需要的输出。信息能够以项目级存储,从而确保设计人员随时都能重复创建任意所必须的输出文件。 现在,altium 在 output job 编辑器中增加了 output media 选项,进一步简化了生成不同输出文件类型的工作,使设计人员能将多种输出整合为单个媒体类型。举例来说,设计人员可在同一 pdf 输出中整合结构图、合成的 pcb 板面图以及材料清单(bom)等。 设计人员可自定义并命名多个同类的 media o

  • Altium发布最新一体化电子设计解决方案,具备100多项新特性

    境。在 design insight 模式下开发的特性能跨多个文档类型与设计编辑器工作,并能在整个环境中提供高效的解决方案,以便跨多个项目与设计领域解决设计复杂性不断提高的管理难题。 最新的设计数据发布特性进一步完善设计发布管理 在电子产品的整个寿命期间,需要以多种形式发布多种不同的文件,其中包括制造文件、各类设计文件、文档所需的文件、规范文件、采购材料单,以及各种其他的数据。如果不做好管理工作,那么收集上述信息的工作量将非常大,而且会带来问题。 altium 显著简化了通过 output job 编辑器生成正确输出的工作,可通过编辑器提供的统一界面定义所有需要的输出。信息能够以项目级存储,从而确保设计人员随时都能重复创建任意所必须的输出文件。 现在,altium 在 output job 编辑器中增加了 output media 选项,进一步简化了生成不同输出文件类型的工作,使设计人员能将多种输出整合为单个媒体类型。举例来说,设计人员可在同一 pdf 输出中整合结构图、合成的 pcb 板面图以及材料清单(bom)等。 设计人员可自定义并命名多个同类的 media o

  • xTimer V1.0

    e max7219 needs serial interface, like spi. this enables me to use a 20-pin 89c4051 mcu, since the pin counts for i/o port is quite limited. the 8-digit led lets me have four timers, each will have two digit. i can set say, 00-99mins or 0-99hrs. the output would be open collector with my favorite 7407! figure 1: the prototype of xtiemr v1.0.the led module with max7219 is a ready made having 10-pin header for easy plugin to the 4051 board. as shown in fig. 1, four keys are used to set time for each t

  • Night Light Saver V6

    circuit. the mcu, 89c2051 runs with 3.579mhz xtal. sw2 is for clock setting, when pressed, time will be 18:00. p3.7 drives tick led with small sink current. r5, 4.7k limits less than one ma for d5. p3.0 provides 5hz clock signal for calibration. the output bit is p1.6. it drives pnp transistor, q1. r3 limits base current. r5 pull base pin to +v when p1.6 is logic '1' to fully turn off q1. r4 limits dc current injected to q2, mac97 small triac. lp1 is incandescent 25w lamp. the lamp's wattage can be up

  • 警报器控制键盘电路

    hen 'a, b, c & d' are pressed in the right order and within the time set by c1 and r2 (about 10 seconds), current through r11 switches q6 on. the relay energizes, and then holds itself on by providing base current for q6 through r12. the 12-volt output switches from the "off " to the "set " terminal, and the led lights. to switch the alarm off again it is necessary to press a, b, c, d & e in the right order. the ic is a quad 2-input and gate, a cmos 4081. these gates only produce a high output

  • 2W 音频放大器(英文)

    designed and built, in spring 1982. at that time i had only an analogue meter and a calculator to work with. although far from perfect, this amplifier does have a wide frequency response, low distortion, and is capable of driving an 8 ohm speaker to output levels of around 5 watts with slightly higher distortion. any power supply in the range 12 to 18 volts dc may be used. circuit descriptionthe amplifier operates in class ab mode; the single 470r preset resistor controls the quiescent current flowing

  • 自己动手制造at89c51编程器 (英文)

    rovide the programming supply voltage to controller. ic u6 is used to generate the vdd power supply voltage for the u-controller which is selectable either 5v or 6v5. the power to the circuit is provided by a wall adapter of 15 to 18v output, normally a 15v type adapter will provide a 19~20v output voltage. as shown in the diagram the crystal x1 can be replaced by a resonator in that case capacitors c4 and c5 are not required. place a small heatsink on u8 voltage regulator. for th

  • VHDL 错误!请帮忙,谢谢!

    lines to use the declarations that are -- provided for instantiating xilinx primitive components. --library unisim; --use unisim.vcomponents.all; entity topmoudel is port ( clk : in std_logic; a : in std_logic; b : in std_logic; reset:in std_logic; output : out std_logic_vector(7 downto 0)); end topmoudel; architecture behavioral of topmoudel is --signal bclk:std_logic; type states is(statt1,statt2,statt3,statt4); signal state:states:=statt1; signal sum1:std_logic_vector(3 downto 0):="0000"

  • 研讨翻译:TI标准逻辑数据手册术语符号的解释与理解

    c data sheet 193.1 summary device description 203.1.1 title, literature number, and dates of origination and revision 203.1.1.1 example: 203.1.1.2 level-shifting diode (d) 243.1.1.3 bus-hold (h) 253.1.1.4 damping resistor on inputs/outputs (r) 263.1.1.5 schottky clamping diode (s) 263.1.1.6 undershoot-protection circuitry (k) 273.1.1.7 power-up 3-state (z) 283.1.2 features bullets 293.1.3 package options and pinouts 303.1.4 description 313.1.5 bga packaging top-v

  • 为什么?为什么?为什么?

    么?为什么?为什么?主题:为什么?为什么?为什么?-------------------------------------------------------------------------------- 有pic16c72单片机用spi方式和外部的eeprom(x5043)的程序吗?或者你帮我看看如下程序有什么问题? 我用的是pic16c72单片机,eeprom是x5043。为什么我只能读一次数据,以后就读不到数据了,但是如果我单步运行,每次都可以读到数据,为什么? output movwf sspbuf bsf status,5 bsf pie1,3 bcf status,5 top btfss pir1,3 goto top bcf status,5 movf sspbuf,0 movwf rxdata

  • Intelligent

    zoelectric infrared sensors to collect the data to enlarge mcu;mcu data will be based on input data signal into the judgment of the situation on the spot. have a voice chip and the address signals and voice signals led digital display under mcu chip output signals to the address, will be preserved in the voice signal output which addresses the same time, led shows with the corresponding figures of speech signal information.3 hardware circuit design is a passive-based multi-channel piezoelectric infrar

  • 哪儿能买到tlp350?

    dicates current sort 1-18 of 18 product life cycle link to data sheet(s) short description cmr(min) iout if number of channels package type prop delay (tphl) prop delay (tplh) pwd (max) vcc(v) vde price* product hcnw3120 active data sheet(s) 2.0 amp output current igbt gate drive optocoupler 15000 v/ms n/a n/a 1 400 mil dip 0.5 us 0.5 us 0.3 us 30 v n/a call for price hcnw3120 hcpl-0302 active data sheet(s) 0.2 amp output current igbt gate drive optocoupler 10000 v/ms n/a n/a 1 so8 0.7 us 0.7 us n/a

output替代型号

output相关热门型号

快速导航


发布求购

我要上传PDF

* 型号
*PDF文件
*厂商
描述
验证
按住滑块,拖拽到最右边
上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!