VHDL

基于FPGA和VHDL语言编程实现液晶屏信号发生器的设计

引言  液晶显示已成为目前平板电视与计算机显示终端的主流,液晶显示器的研究设计、生产、检验等部门甚至消费者需要用一些定量或定性的方法和指标去检验液晶显示器的质量...

分类:通信与网络 时间:2020-07-10 阅读:835 关键词:FPGA发生器信号信号发生器液晶屏

基于FPGA与VHDL语言实现音频处理芯片设计与仿真分析

目前,信号处理技术、通信技术和多媒体技术的迅猛发展都得益于DSP[3]技术的广泛应用。但是对于便携式和家用的语音系统而言,基于一般的DSP芯片的设计方案并不理想。首先DSP的芯片成本以及开发成本在现阶段仍然是比较...

时间:2019-01-08 阅读:794 关键词:FPGA芯片

基于VHDL逻辑电路设计与应用

1、引言  电子产品随着技术的进步,更新换代速度可谓日新月异。EDAI'辉lectronicDesignAutomatic)技术的应用很好地适应了这一特点。通过设计和编程,由可编程逻辑器...

分类:电源技术 时间:2018-08-01 阅读:578 关键词:电路电路设计

直流电机VHDL源代码

LIBRARY IEEE;USE IEEE.Std_logic_1164.ALL;USE IEEE.Std_logic_unsigned.All;USE IEEE.Std_logic_arith.All;ENTITY Dccount ISPort (Clk : IN STD_LOGIC;AI : IN STD_LOGIC_VECTOR(3 DOWNTO 0);CO : Out STD_...

分类:元器件应用 时间:2018-05-24 阅读:824 关键词:电机直流电机

基于VHDL的有线电视机顶盒信源发生方案

VHDL是随着可编辑逻辑器件(PLD)的发展而发展起来的一种硬件描述语言。它是1980年美国国防部VHSIC(超高速集成电路)计划的一部分,并于1986年和1987年分别成为美国国防部...

分类:家电/消费电子 时间:2014-09-27 阅读:1497

一种多功能电子密码锁的VHDL设计

摘要:利用EDA技术,在可编程逻辑器件CPLD上实现了一种多功能电子密码锁。为弥补传统密码锁的不足,进一步提高可靠性,该系统中所有数据的存储、运算都完全由硬件实现。利...

分类:EDA/PLD/PLC 时间:2014-01-13 阅读:2489 关键词:电子

基于VHDL语言的数字频率计的设计方案

摘要:本文提出了一种基于VHDL语言的数字频率计的设计方案,该方案通过采用自顶向下的设计方法,用VHDL语言对状态机、计数器、十分频、同步整形电路等进行编程,用Quartus...

分类:电子测量 时间:2013-12-09 阅读:3619

EMCCD相机数字控制系统的VHDL设计

摘要:近年来EMCCD 被越来越多地用于天文观测,国内EMCCD 相机的研制和观测也在加速。介绍了基于TI的EMCCD TC253相机的数字控制系统及其设计方法。首先对TC253以及模拟信号处理器AD9845B的工作原理及控制要求进行了...

时间:2013-07-29 阅读:3082 关键词:EMEMC

基于VHDL和CPLD的智能数字电压表设计

数显电压表是用模/数转换器将测量电压值转换成数字形式并以数字形式表示的仪表适合环境温度0~50℃湿度85%以下使用,在因磁场或高频仪器,高压火花,闪电等原因引起电压异...

分类:其它 时间:2012-12-15 阅读:155

基于VHDL的SDRAM控制器的实现

在高速实时或者非实时信号处理系统当中,使用大容量存储器实现数据缓存是一个必不可少的环节,也是系统实现中的重点和难点之一。SDRAM(同步动态随机访问存储器)具有价格...

分类:EDA/PLD/PLC 时间:2012-10-29 阅读:3667 关键词:控制器

基于VHDL的MTM总线主模块有限状态机设计

摘要:为了能够更简洁严谨地描述MTM总线的主模块有限状态机的状态转换,同时减少FPGA芯片功耗,提高系统稳定性,文中在分析MTM总线结构和主模块有限状态机模型的基础上,基...

分类:EDA/PLD/PLC 时间:2012-07-02 阅读:5477 关键词:总线

基于VHDL的DRAM控制器设计

80C186XL16位嵌入式微处理器是Intel公司在嵌入式微处理器市场的上导产品之一,已广泛应用于电脑终端、程控交换和工控等领域。在该嵌入式微处理器片内,集成有DRAM RCU单元,即DRAM刷新控制单元。RCU单元可以自动产生...

分类:嵌入式系统/ARM技术 时间:2012-01-31 阅读:3291 关键词:控制器

基于VHDL密码控制系统的设计和仿真

1 前言  随着电子技术和ASIC技术的发展.数字系统设计向速度快、容量大、体积小、重量轻的趋势发展。目前数字系统设计可直接面向用户需求,根据系统的行为和功能要求,自...

分类:其它 时间:2011-09-02 阅读:1893

浅谈Verilog HDL与VHDL及FPGA的特点

VerilogHDLVerilogHDL是一种硬件描述语言(HDL:HardwareDiscriptionLanguage),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻

分类:嵌入式系统/ARM技术 时间:2011-09-02 阅读:4364 关键词:FPGA

在VHDL基础上Petri网并行控制器的实现

Petri网是异步并发系统建模与分析的一种重要工具,1962年由德国科学家C.A.Petri博士创立。40多年来,Petri网理论得到了很大的丰富和发展。Petri网既有直观的图形表示,又有深厚的数学基础;既是层次化的结构模型,又...

分类:其它 时间:2011-08-24 阅读:1149 关键词:控制器

探讨VHDL设计中信号与变量的区别及赋予初始值的技巧

在VHDL程序设计中,可以充分利用信号或变量的系统默认值,来灵活实现设计目标。本文从应用的角度举例说明了VHDL设计中信号与变量的区别,以及正确的使用方法,并介绍了为信号或变量赋予初始值的技巧。  概述  随...

分类:其它 时间:2011-08-23 阅读:3475 关键词:信号

基于FPGA 与VHDL 的微型打印机的驱动设计

摘 要:为了取代传统利用单片机驱动微型打印机,使用Alt era 公司的FPGA 芯片EP3C25Q240C8N 设计驱动打印机的硬件控制电路,并正确控制微型打印机的工作时序。软件使用硬...

分类:EDA/PLD/PLC 时间:2011-03-04 阅读:3960 关键词:FPGA驱动

基于VHDL +FPGA 的自动售货机控制模块的设计与实现

EDA技术是以计算机为工具完成数字系统的逻辑综合、布局布线和设计仿真等工作。电路设计者只需要完成对系统功能的描述,就可以由计算机软件进行系统处理,得到设计结果,并且修...

分类:EDA/PLD/PLC 时间:2011-01-04 阅读:4197 关键词:FPGA

基于VHDL的2FSK调制解调器设计

摘 要:在数字通信系统中,数字调制与解调技术占有非常重要的地位。文中介绍了FSK调制解调的基本原理,用VHDL语言实现了2FSK调制解调器的设计,整个系统设计在MAX+plusII开...

分类:EDA/PLD/PLC 时间:2010-12-31 阅读:7986 关键词:解调器

基于VHDL 的矩阵键盘及显示电路设计

摘 要:为了有效防止机械式键盘按键抖动带来的数据错误,这里在Quartus Ⅱ开发环境下,采用VHDL 语言设计了一种能够将机械式4 ×4 矩阵键盘的按键值依次显示到8 个7 段数码管...

分类:EDA/PLD/PLC 时间:2010-10-09 阅读:4434 关键词:电路电路设计

上传BOM文件: BOM文件
*公司名:
*联系人:
*手机号码:
QQ:
应用领域:

有效期:
OEM清单文件: OEM清单文件
*公司名:
*联系人:
*手机号码:
QQ:
有效期:

扫码下载APP,
一键连接广大的电子世界。

在线人工客服

买家服务:
卖家服务:

0571-85317607

客服在线时间周一至周五
9:00-17:30

关注官方微信号,
第一时间获取资讯。

建议反馈

联系人:

联系方式:

按住滑块,拖拽到最右边
>>
感谢您向阿库提出的宝贵意见,您的参与是维库提升服务的动力!意见一经采纳,将有感恩红包奉上哦!